SYSTEMVIEW简单使用

更新时间:2023-10-15 03:34:01 阅读量: 综合文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

第 1 页

第一部分 SystemView及其操作简介

美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前已推出了3.0版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。

1.1 SystemView的基本特点

SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含若干图符库的主库(Main Library)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(Logic Library)、射频/模拟库(RF Analog Library)和用户代码库(User Code Library)。

1.2 SystemView系统视窗

1.2.1 主菜单功能

进入SystemView后,屏幕上首先出现该工具的系统视窗,如图1-2-1所示。

图1-2-1 系统视窗

第 2 页

系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便笺(NotePads)、连接(Connetions)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tools)和帮助(Help)共11项功能菜单。与最初的SystemView1.8相比,SystemView3.0的操作界面和对话框布局有所改变。

执行菜单命令操作较简单,例如,用户需要清除系统时,可单击“File”菜单,出现一个下拉菜单,单击其中的“Newsystem”工具条即可。为说明问题简单起见,将上述操作命令记作:File>>Newsystem,以下类同。各菜单下的工具条及其功能如下表所示:

表1-2-1 SystemView3.0各菜单下的工具条及其功能

菜单工具条命令 File菜单 File>>Newsystem File>>Open Recent System File>>Open Existing System File>>Open System in Safe Mode File>>Save System File>> Save System As File>> Save Selected Metasystem File>>System File Information File>>Print System: Text Tokens File>>Print System: Symbolic Tokens File>>Print System Summary File>>Print Connection List File>>Print Real Time Sink File>>Print SystemView Sink File>>Printer/Page Setup File>>Printer Fonts File>>Exit 各工具条的功能简述 清除当前系统 打开最新的SystemView文件 打开已存在的SystemView文件 以安全模式打开系统 用已存在的文件名存储当前系统内容 将当前系统内容另存为一个文件 存储选择的亚系统文件 系统文件信息 打印屏幕内容,图符块用文字代替 如实打印屏幕内容,包括图符块 打印系统摘要,即图符块表 打印连接表 打印实时接收器的波形 打印System View信宿接收器的波形 打印设置 打印字体设置 退出SystemView系统 复制便笺 复制System View接收器 复制系统到剪贴板 选择局部复制系统 复制系统中的文字图符块 复制全屏幕 粘贴到便笺 删除图符块或便笺框 Edit 菜单 Edit>>Copy Note Pad Edit>>Copy SystemView Sink Edit>>Copy System to Clipboard Edit>>Copy System: Selected Area Edit>>Copy System: Text Tokens Edit>>Copy Entire Screen Edit>>Paste To Note Pad Edit>>Delete Preference菜单 Preference>>Customize… 定制 Preference>>Reset All Defaults 复位所有缺省设置 Preference>>Optimize for Run Time Speed 优化运行时速 View菜单 View>>Zoom View>>MetaSystem View>>Hide Token Numbers View>>Analysis Windows View>> Calculator View>>Units Converter 界面图形缩放 亚系统 隐藏显示图符编号 进入分析窗 计算器 统一转换 第 3 页

Note Pad菜单 Node Pad>>Hide Note Pads Node Pad>>New Note Pad Node Pad>>Copy Token Parameters to Note Pad Node Pad>>Attributes for All Note Pads Node Pad>>Attributes Selected Note Pad Node Pad>>Delete Note Pad Node Pad>> Delete All Note Pads 隐藏显示便笺 新插入便笺 将图符块参数复制到便笺内 所有便笺属性 选择的便笺属性 删除便笺 删除所有便笺 拆除所有图符块之间的连线 立即检查连接 显示图符块输出 隐藏图符块输出 立即编译系统 动画执行顺序 编译导向器 编辑执行顺序 取消编辑操作 取消上一次编辑操作 使用缺省顺序 使用用户执行顺序 运行系统仿真 单步执行 调试用户代码 根轨迹 波特图 查找指定图符块 查找系统固有延迟 移动选中的图符块 整体移动所有图符块 重复放置图符块 创建亚系统 重新命名亚系统 展开亚系统 为用户图符赋图形 使用缺省设置图符块 选择新变量图符块 编辑图符块参数变量 取消所有参数变量 全局参数连接 自动程序产生 用户代码 Xillinx型FPGA Matlab数学工具 Connections菜单 Connections>>Disconnect All Tokens Connections>>Check Connections Now Connections>>Show Token Output Connections>>Hide Token Output Compiler菜单 Compiler>>Compile System Now Compiler>>Animate Exe Sequence Compiler>>Compiler Wizard Compiler>>Edit Execution Sequence Compiler>> Cancel Edit Operation Compiler>> Cancel Last Edit Compiler>> Use Default Exe Sequence Compiler>> Use Custom Exe Sequence System菜单 System>>Run System Simulation System>>Single Step Execution System>>Debug (User Code) System>>Root Locus System>>Bode Plot Token菜单 Token>>Find Token Token>>Find System Implicit Delays Token>>Move Selected Tokens Token>>Move All Tokens Token>>Duplicate Tokens Token>>Create MetaSystem Token>>Re-name MetaSystem Token>>Explode MetaSystem Token>>Assign Custom Token Picture Token>>Use Default Token Picture Token>> Select New Variable Token Token>>Edit Token Parameter Variations Token>>Disable All Parameter Variations Token>>Gloable Parameter Links Tools菜单 Tools>>Auto Program Generation(APG) Tools>>User Code Tools>>Xillinx FPGA Tools>>Matlab

第 4 页

1.2.2 快捷功能按钮

在主菜单栏下,SystemView为用户提供了16个常用快捷功能按钮,按钮功能如下:

清除系统 删图符块 切断连线 布放连线

复制图符 便笺注释 终止运行 系统运行

系统定时 分析窗口 进亚系统 建亚系统

根轨迹 波特图 重画图形 图符翻转

1.2.3 图符库选择按钮

系统视窗左侧竖排为图符库选择区。图符块(Token)是构造系统的基本单元模块,相当于系统组成框图中的一个子框图,用户在屏幕上所能看到的仅仅是代表某一数学模型的图形标志(图符块),图符块的传递特性由该图符块所具有的仿真数学模型决定。创建一个仿真系统的基本操作是,按照需要调出相应的图符块,将图符块之间用带有传输方向的连线连接起来。这样一来,用户进行的系统输入完全是图形操作,不涉及语言编程问题,使用十分方便。进入系统后,在图符库选择区排列着8个图符选择按钮,即:

信源库 亚器件库 加法器 输入/输出

操作库 函数库 乘法器 信宿库

在上述8个按钮中,除双击“加法器”和“乘法器”图符按钮可直接使用外,双击其它按钮后会出现相应的对话框,应进一步设置图符块的操作参数。单击图符库选择区最上边的主库开关按钮 main ,将出现选择库开关按钮 Option下的用户库(User)、通信库(Comm)、DSP库(DSP)、逻辑库(Logic)、射频模拟库(RF/Analog)和数学库(Matlab)选择按钮,可分别双击选择调用。

1.3 系统窗下的库选择操作

1.3.1 选择设置信源(Source)

创建系统的首要工作就是按照系统设计方案从图符库中调用图符块,作为仿真系统的基本单元模块。可用鼠标左键双击图符库选择区内的选择按钮。现以创建一个PN码信源为例,该图符块的参数为2电平双极性、1V幅度、100Hz码时钟频率,操作步骤如下: (1)双击“信源库”按钮,并再次双击移出的“信源库图符块”,出现源库(Source Library)选择设置对话框,如图1-3-1所示。与SystemView1.8相比,SystemView3.0的库对话框布局有所变化,它将信源库内各个图符块进行分类,通过 “Sinusoid/Periodic(正弦/周期)”、“Noise/PN(噪声/PN码)”和“Aperiodic/Ext(非周期/扩展)” 3个开关按钮进行分类选择和调用,而不像SystemView1.8那样所有库内图符全部显示在一个窗口内,其它库

第 5 页

选择对话框与之类似; 图1-3-1 源库选择设置对话框

(2)单击开关按钮下边框内的“PN Seq”图符块表示选中,再次单击对话框中的参数按钮 Parameters ,在出现的参数设置对话框中分别设置:幅度Amplitude=1、直流偏置Offset=0、电平数Level=2;

(3)分别单击参数设置和源库对话框的按钮 OK ,从而完成该图符块的设置。

1.3.2 选择设置信宿库(Sink)

当需要对系统中各测试点或某一图符块输出进行观察时,通常应放置一个信宿(Sink)图符块,一般将其设置为“Analysis”属性。Analysis块相当于示波器或频谱仪等仪器的作用,它是最常使用的分析型图符块之一。Analysis块的创建操作如下:

(1)双击系统窗左边图符库选择按钮区内的“信宿”图符按钮,并再次双击移出的“信宿”块,出现信宿定义(Sink Definition)对话框,如图1-3-2所示;

图1-3-2 信宿定义对话框

(2)单击“Analysis”图符块选中;

第 6 页

(3)最后,单击信宿定义对话框内的 OK 按钮完成信宿选择。

1.3.3 选择设置操作库(Operator Library) 双击图符库选择区内的“操作库”图符块按钮,并再次双击移出的“操作库” 图符块,出现操作库(Operator Library)选择对话框,操作库中的各类图符块可通过6个分类选择开关选用,如图1-3-3所示,库内常用图符块主要包括:延迟Delay块、保持Hold块、采样Sampler块、放大Gain块、线性系统LinearSys块、采样延迟SmplDly块、比较Compare块和给类门(Xor、And、Nand、Or、Not)块等。设置参数方法同上。

图1-3-3 操作库选择对话框

1.3.4 选择设置函数库(Function Library) 双击图符库选择区内的“函数库”图符块按钮,并再次双击移出的“函数库” 图符块,出现函数库(Function Library)选择设置对话框,如图1-3-4所示,设置图符块参数的方法与前边类似。

图1-3-4 函数库选择设置对话框

第 7 页

对于上述各库的对话框,如果希望知道库内某图符块的功能,可用鼠标指在某个图符块上,立刻出现一个小文本框,框内以英文提示用户该图符块的功能参数和性质。

1.3.5 选择设置通信库(Communication Library)

在系统窗下,单击图符库选择区内上端的开关按钮 Main ,图符库选择区内图符内容将改变,双击其中的图符按钮“Comm”,再次双击移出的“Comm”图符块,出现通信库(Communication Library)选择设置对话框, 如图1-3-5所示。通信库中包括通信系统中经常会涉及的BCH、RS、Golay、Vitebi纠错码编码/译码器、不同种类的信道模型、调制解调器、分频器、锁相环、Costas环、误比特率BER分析等可调用功能图符块。

图1-3-5 通信库选择设置对话框

1.3.6 选择设置逻辑库(Logic Library)

在系统窗下,双击图符库选择区内的“Logic”图符按钮 ,再次双击移出的“Logic”图符块,出现逻辑库(Logic Library)选择设置对话框, 如图1-3-6所示。通过6个选择开关按钮可分门别类地选择库内各种逻辑门、触发器和其它逻辑部件。 图1-3-6 逻辑库选择设置对话框

除已经介绍的图符库外,SystemView还提供了其它种类的丰富库资源,但作为一般通

第 8 页

信系统的仿真分析,基本可不涉及其它类型库的调用,由于篇幅的限制,恕不做进一步的详细介绍,对此有兴趣的读者可参阅有关资料。

1.4 系统定时(System Time)

在SystemView系统窗中完成系统创建输入操作(包括调出图符块、设置参数、连线等)后,首先应对输入系统的仿真运行参数进行设置,因为计算机只能采用数值计算方式,起始点和终止点究竟为何值?究竟需要计算多少个离散样值?这些信息必须告知计算机。假如被分析的信号是时间的函数,则从起始时间到终止时间的样值数目就与系统的采样率或者采样时间间隔有关。实际上,各类系统或电路仿真工具几乎都有这一关键的操作步骤,SystemView也不例外。如果这类参数设置不合理,仿真运行后的结果往往不能令人满意,甚至根本得不到预期的结果。有时,在创建仿真系统前就需要设置系统定时参数。

当在系统窗下完成设计输入操作后,首先单击“系统定时”快捷功能按钮 ,此时将出现系统定时设置(System Time Specification)对话框,如图1-4-1所示。用户需要设置几个参数框内的参数,包括以下几条: 图1-4-1 系统定时设置对话框

1.4.1 起始时间(Start Time)和终止时间(Stop Time)

SystemView基本上对仿真运行时间没有限制,只是要求起始时间小于终止时间。一般起始时间设为0,单位是秒(s)。终止时间设置应考虑到便于观察波形。

1.4.2 采样间隔(Time Spacing)和采样数目(No. of Samples) 采样间隔和采样数目是相关的参数,它们之间的关系为:

采样数目=(终止时间-起始时间)×(采样率)+1 SystemView将根据这个关系式自动调整各参数的取值,当起始时间和终止时间给定后,一般采样数目和采样率这两个参数只需设置一个,改变采样数目和采样率中的任意一个参数,另一个将由系统自动调整,采样数目只能是自然数。

第 9 页

1.4.3 频率分辨率(Freq.Res.) 当利用SystemView进行FFT分析时,需根据时间序列得到频率分辨率,系统将根据下列关系式计算频率分辨率:

频率分辨率=采样率/采样数目

1.4.4 更新数值(Update Values)

当用户改变设置参数后,需单击一次“Time Values”栏内的Update按钮,系统将自动更新设置参数,然后单击OK按钮。

1.4.5 自动标尺(Auto Scale)

系统进行FFT运算时,若用户给出的数据点数不是2的整次幂,单击此按钮后系统将自动进行速度优化。

1.4.6 系统循环次数(No. of System Loops)

在拦内输入循环次数,对于“Reset system on loop”项前的复选框,若不选中,每次运行的参数都将被保存,若选中,每次运行时的参数不被保存,经多次循环运算即可得到统计平均结果。应当注意的是,无论是设置或修改参数,结束操作前必须单击一次OK按钮,确认后关闭系统定时对话框。

1.5 分析窗介绍

设置好系统定时参数后,单击“系统运行”快捷功能按钮 ,计算机开始运算各个数学模型间的函数关系,生成曲线待显示调用。此后,单击“分析窗口”快捷功能按钮 ,进入分析视窗(SystemView Analysis)进行操作。分析视窗如图1-5-1所示。

图1-5-1 分析窗口界面

第 10 页

分析视窗的主要功能是显示系统窗中信宿(主要是Analysis块)处的给类分析波形、功率谱、眼图、信号星座图等信息,每个信宿对应一个活动波形窗口,各以多种排列方式同时或单独显示,也可将若干个波形合成在同一个窗口中显示,以便进行结果对比。

在分析窗口下,第一行为“主菜单栏”,包括:File、Edit、Preferences、Windows、Help 五个功能栏;第二行为“工具栏”,自左至右的图标按钮依次为:

按钮1: 绘制新图 按钮5 :连点 按钮9 :横排显示 按钮13:窗口最小化 按钮17:微型窗口

按钮2 :打印图形 按钮6 :显示坐标 按钮10:叠层显示 按钮14:打开所有窗口 按钮18:快速缩放

按钮3 :恢复 按钮7 :X轴标记 按钮11:X轴对数化 按钮15:动画模拟 按钮19:输入APG

按钮4 :点绘 按钮8 :平铺显示 按钮12:Y轴对数化 按钮16:统计 按钮20:返系统窗

1.6 在分析窗下观察分析结果

通信系统的仿真分析结果主要以不同形式的时域或频域系统响应波形、特性曲线来表示,主要包括:时域波形、眼图、功率谱、信号星座图、误码特性曲线等形式,并以活动窗口给出。各类波形显示操作主要与“SystemView信宿计算器”对话框的操作有关。当完

成了系统创建输入、设置好系统定时参数并运行后,便可进入分析视窗。单击分析窗下 端信宿计算器按钮 ,出现“SystemView信宿计算器”对话框,如图1-6-1所示,该对话框左上部共有11个分类设置开关按钮,右上角的“Select one or more Windows:”窗口内顺序给出了分析系统中的“波形号:用户信宿名称(信宿块编号)”。

图1-6-1 SystemView信宿计算器设置对话框

1.6.1 观察时域波形

时域波形是最为常用的系统仿真分析结果表达形式。进入分析窗后,单击“工具栏”

第 11 页

内的绘制新图按钮(按钮1),可直接顺序显示出放置信宿图符块的时域波形,并可任意单击分析窗工具栏中的“窗口竖排列”(按钮7)、“窗口横排列”(按钮8)。

1.6.2 观察眼图

首先回顾一下“眼图”的概念。对于码间干扰和噪声同时存在的数字传输系统,给出系统传输性能的定量分析是非常繁杂的事请,而利用“观察眼图”这种实验手段可以非常方便地估计系统传输性能。实际观察眼图的具体实验方法是:用示波器接在系统接收滤波器输出端,调整示波器水平扫描周期Ts,使扫描周期与码元周期Tc同步(即Ts=nTc,n为正整数),此时示波器显示的波形就是眼图。由于传输码序列的随机性和示波器荧光屏的余辉作用,使若干个码元波形相互重叠,波形酷似一个个“眼睛”,故称为“眼图”。“眼睛”挣得越大,表明判决的误码率越低,反之,误码率上升。SystemView具有“眼图”这种重要的分析功能,图1-6-2给出了SystemView分析所得眼图波形。

(a)误码率较低的系统传输眼图

(b)误码率较高的系统传输眼图

图1-6-2 不同眼图的对比

在分析窗下,当屏幕上已经出现波形显示活动窗后,单击信宿计算器按钮,出现“SystemView信宿计算器”对话框,单击分类设置开关按钮 Style ,出现如图1-6-3所示的参数设置对话框,再次单击“Style”栏内的按钮 Time Slice ,在其“Start Time[sec]”栏内输入观察波形的起始时刻,在“Length[sec]”栏内输入观察时间长度,单位均为秒。

第 12 页

应当注意的是,系统的输出波形自分析起始时刻开始常常有一段时间的过渡过程,故设置眼图观察的起始时刻应让过这段时间,图1-6-2(a)设置是Start Time[sec]=5、Length[sec]=5,而图1-6-2(b)设置是Start Time[sec]=0、Length[sec]=5,说明过渡状态期间的眼图较差。Length设置的时间值越大,看到的“眼”越多,且应为Tc的整数倍。最后单击按钮 OK 返回分析窗,等待观察指定的眼图,究竟看哪一个信号的眼图,可用鼠标左键选中“Select one windows:”窗口内的块名称和编号(选中后变成反百条)。

1.6.3 观察功率谱

当需要观察信号功率谱时,可在分析窗下单击信宿计算器图标按钮,出现“SystemView信宿计算器”对话框,单击分类设置开关按钮 Spectrum ,出现如图1-6-4所示对话框。 图1-6-4 信宿计算器下的“Spectrum”对话框

接下来选择计算功率谱的条件,如选中“Power Spectrum[dBm in 50 ohms]”项,则表示计算功率谱的条件为50欧负载上的对数功率谱;在“Select One Window:”栏目内选择

第 13 页

信号观测点;最后单击按钮 OK 返回分析窗,等待功率谱显示活动窗口的出现。在通信系统分析过程中,对信号进行功率谱分析是十分重要的内容。

1.6.4 观察信号星座图或相位路径转移图

在对数字调制系统或数字调制信号进行分析时,常借助二维平面的信号星座图(Signal Constellation)来形象地说明某种数字调制信号的“幅度-相位”关系,从而可以定性地表明与抗干扰能力有关的“最小信号距离”。以16QAM系统为例,发送端理想的信号星座图如图1-6-5所示。

信号点 在接收系统输出,由于信道特性不理想和干扰噪声的影响,信号点产生发散现象,信号点的发散程度与信道特性不理想程度和噪声强度有关。图1-6-6(a)为接收滤波器输出在噪声极弱时的信号星座图,图1-6-6(b)为接收滤波器输出在噪声较

图1-6-5 理想的16QAM信号星座图

强时的信号星座图,这两张图是SystemView经过大

量统计分析得到的,每组4电平基带码正交矢量合成为一个信号点。

(a) 噪声极弱时接收输出的16QAM信号星座图

(b) 噪声较强时接收输出的16QAM信号星座图 图1-6-6 16QAM信号星座图对比

除可以观察信号星座图外,利用SystemView还可观察信号的相位转换图。在出现信号星座图显示活动窗口后,单击分析窗中第二行“工具栏”的按钮4(点绘)可观察星座图,单击按钮5(连点)可观察信号的相位路径转换图,两种操作可相互切换。点的大小可利用“Preference>>Smaller Points in …>>Normal/small/pixel”命令修改。理想的16QAM信号相位路径转换图如图1-6-7所示,注意,图形被拉长显示。

第 14 页

利用SystemView观察信号眼图或相位转换图,仍然是利用信宿计算器的对话框。仍以观察16QAM发送信号为例,其信号星座图和相位转换图与同相支路码信号(I信号)和正交支路码信号(Q信号)有关。在分析窗下单击信宿计算器按钮,在出现的对话框中,首先单击 Style 按钮,在“Select one window from each list:”栏内选中系统输入的I信号(w0:)后,单击 Scatter Plot 按钮,再在“Versus”栏内选中系统输入的Q信号(w1:),如图1-6-8所示,最后单击按钮 OK 结束设置操作,出现信号星座图显示活动窗口。 图1-6-8 观察星座图或相位路径的对话框设置

另外,在出现信号星座图后,单击“工具栏”内的按钮14(动画模拟),此时活动窗口内出现一个跳动光点,该光点的变化轨迹正是随所传数字序列改变信号点运动的轨迹。

1.7 总结

从这部分内容介绍可以看出,SystemView具有很强的通信系统仿真分析功能,除介绍的上述分析功能外,还可以做系统的误码率分析,此内容在第二部分中另行介绍。还有许多其它分析功能限于本书篇幅未做介绍,有兴趣的读者可进一步探索SystemView更广泛的应用领域。

本文来源:https://www.bwwdw.com/article/isif.html

Top