北京交通大学电子设计自动化 - 图文
更新时间:2023-09-28 22:09:01 阅读量: 综合文库 文档下载
电子设计自动化实验报告
实验1、组合逻辑电路的设计 实验2、时序逻辑电路的设计
实验地点: 电气楼406
班级 自动化1206 姓名 李国杰 学号 12212156 指导教师 刘彪 时间 2014.5.31
实验1、组合逻辑电路的设计
1、 用IF语句设计一个8-3优先编码器。
8-3优先编码器输入信号为din0,din1,din2,din3,din4,din5,din6和din7,输出信号为out2、out1、out0。输入信号中din0的优先级别最低,依次类推,din7的优先级别最高。也就是说若din7输入为0(即为低电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din7输入为1(即为高电平)则看优先级仅次于din7的din6状态决定,依次类推。因为din0到din7共8中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表所示。
方案:编程时用if语句列出所有输入状态及对应输出
源程序: library ieee;
use ieee.std_logic_1164.all; entity coder is
port(din: in std_logic_vector(0 to 7);
output: out std_logic_vector(0 to 2)); end coder;
architecture behav of coder is
begin
process(din) begin
if(din(7)='0')then output <=\ elsif(din(6)='0')then output <=\ elsif(din(5)='0')then output <=\ elsif(din(4)='0')then output <=\ elsif(din(3)='0')then output <=\ elsif(din(2)='0')then output <=\ elsif(din(1)='0')then output <=\ else output<=\ end if;
end process; end behav;
仿真波形:(功能仿真)
小结:这个程序相对比较简单,变量比较少,只需要列出各种结果就可完成。从波形上看,仿真结果与真值表完全对应,说明程序没有错误。
2、 用CASE语句设计一个4-16译码器。
4-16译码器输入信号有4个a,b,c,d,通过这4个信号的不同组合共16种翻译出16位的信号输出。
方案:用case语句列出不同输入对应的输出
源程序: library ieee;
use ieee.std_logic_1164.all; entity decoder_4to16 is port(a,b,c,d:in std_logic;
y:out std_logic_vector(15 downto 0)); end decoder_4to16;
architecture rtl of decoder_4to16 is
signal indata:std_logic_vector(3 downto 0); begin
indata<=d&c&b&a; process(indata) begin
case indata is
when\ when\ when\ when\ when\ when\ when\ when\ when\ when\ when\ when\ when\ when\ when\ when\ when others=>y<=\ end case; end process; end rtl;
仿真波形(功能仿真):
小结:从仿真波形来看,每种输入都对应着不同的输出,并且没有错误。
实验2、时序逻辑电路的设计
设计4位二进制同步加/减法 可逆计数器
输入: clr: 异步清零(高电平有效)
clk: 时钟输入
load: 同步预置使能端(低电平有效) D3~D0: 4位二进制数输入端 updown: 加/减法控制信号 ( ‘1’加 ,‘0’减 )
输出: Q3~Q0: 4位二进制计数输出
CO: 进位输出 BO: 借位输出
正在阅读:
北京交通大学电子设计自动化 - 图文09-28
8x8LED点阵汉字显示设计03-08
中药湿敷操作视频详细对话11-18
物理化学第一定律练习201-19
科学思维方法论第三次作业08-24
护坡混凝土喷射机安全使用技术交底09-07
真是有点险作文500字07-06
搅拌站施工方案(原)03-08
最新-做环保小卫士演讲稿怎么写 精选范文3篇 精品04-09
关于激发小学生英语学习兴趣的实践与研究04-22
- 计算机试题
- 【2012天津卷高考满分作文】鱼心人不知
- 教育心理学历年真题及答案--浙江教师资格考试
- 20180327-第六届“中金所杯”全国大学生金融知识大赛参考题库
- 洪林兴达煤矿2018年度水情水害预测预报
- 基本要道讲义
- 机电设备安装试运行异常现象分析与对策
- 《有机化学》复习资料-李月明
- 非常可乐非常MC2--非常可乐广告策划提案 - 图文
- 2011中考数学真题解析4 - 科学记数法(含答案)
- 企业人力资源管理师三级07- 09年真题及答案
- 基于单片机的光控自动窗帘控制系统设计说明书1 - 图文
- 20160802神华九江输煤皮带机安装方案001
- (共53套)新人教版一生物必修2(全册)教案汇总 word打印版
- 2014行政管理学总复习
- 中国银监会关于加强地方政府融资平台贷款风险监管的指导意见
- 民宿酒店核心竞争与研究
- 游园活动谜语大全2012
- 河南省天一大联考2016届高三英语5月阶段性测试试题(六)(A卷)
- 小型超市管理系统毕业论文详细设计4
- 交通大学
- 北京
- 自动化
- 图文
- 设计
- 电子
- 学前教育毕业论文
- 2019七年级历史上册第一单元第1课中国早期人类的代表—北京人备课资料教案新人教版
- 奖惩情况表 - 图文
- 中财练习
- 项目经理岗位竞聘演讲稿
- 2013单片机作业练习
- 综合预案2014(扫描)
- 基础随机变量及其分布知识点
- 实验二:线性表的链式表示和实现
- 《1大作家的小老师》教学设计
- 电路分析基础课程设计
- 区市场监督管理局工作总结
- 二年级上册语文课后练习题
- 900吨运梁车-郭京波 - 图文
- 我国国民收入分配的主体格局变化
- 历年幼儿教师招聘考试教育理论综合真题汇编试卷一
- 内容(十三)锂离子电池的电化学阻抗谱分析
- 2010大型设备上岗证考试(MRI医师)真题及参考答案
- 住宅建筑管道井的类别及一般设置原则
- 2015年最新马克思主义基本原理概论复习资料整理修改版