EDA期末考试试卷

更新时间:2023-09-05 23:27:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

EDA期末试题

期末考试《EDA》试卷 A

一、填空题:(每空1分,共20分)

1、一般把EDA技术的发展分为 、 和 三个阶段。

2、VHDL的全称是 。

3、VHDL年正式推出,是目前标准化程度最高的硬件描述语言。

4、一个完整的VHDL设计实体由、和等部分构成。

5、VHDL组成。

6、VHDL字符是以括起来的数字、字母和数字。

7、VHDL,只能在进程、函数和过程中声明和使用。

8、VHDL顺序语句只出现在 、 和 中, 是按程序书写的顺序自上而下、一条一条地执行。 9、VHDL子程序有 和 两种类型。

10、在VHDL

二、选择题:(每小题2分,共10分)

1、用VHDL语言描写的的源程序文件后缀为( )

A.*.wdf B.*.gdf C.*.vhd D.*.sym

2、IEEE与1987年公布了VHDL的语法标准为( )

A.IEEE STD 1076_1987 B.RS232

C.IEEE.STD_LOGIC_1164 D. IEEE STD 1076_1993

3、一个实体可以拥有一个或者多个( )。

A.设计实体 B.结构体 C.输入 D.输出

4、在下列标识符中,( )是VHDL合法标识符。

A.4h_adde B. h_adde_ C. h_adde D. _h_adde

5、在VHDL中,为目标变量的赋值符号为( )

A.=: B.= C.:= D.《=

三、简答题:(每题5分,共20分) 1

2、变量赋值语句与信号赋值语句的区别?

EDA期末试题

3、PROCESS语句的特点。

4、if语句包括哪几种类型?写出每种类型的语法格式。

四、 程序改错:(找出错误并改正,找出每处错误1分,改正1分共20分)

1、architecture rtl of start is

Process clk

signal count : integer range 0 to 7;

begin

if (clk'event and clk='1')

count <= count + 1;

if(count=0) then

carryout <= 1;

else

carryout <= '0';

end if;

end process;

end rtl;

2、 library ieee;

use ieee_std_logic_1164.all;

entity dm

(a,b: in std_logic;

c: out std_logic)

end md;

architecture li for dm is

begin

c:= a and b;

五、编程题:(第1题6分,第2题6分,第3题9分,第4题9分,共30分)

1、利用VHDL语言描述一个三态门电路

2、利用VHDL语言描述4位二进制数据比较器

3、 利用VHDL语言描述一个同步置位、复位的D触发器

4、利用VHDL语言设计一个分频系数为16的分频器

EDA期末试题

期末考试《EDA实验》试卷B

一、填空题:(每题6分,共30分)

1、常用的设计输入方式有 、

2、 库提供了基本的逻辑元器件。

3、MAX+plusⅡ编译器包括两大功能: 和 。

4、在设计输入完成之后,应立即对设计文件进行。

5、MAX+plus公司自己开发的EDA工具软件。

二、选择题:(每小题3分,共15分)

注:不定项选择

1、波形图的文件后缀为( )

A.*.scf B.*.gdf

C.*.vhd D.*.sym

2、运行编译器的方法是,在MAX+plusⅡ菜单中选( )

http://www.77cn.com.cnplier B.New

C.Processing D.Simulator

3、把底层设计封装为自定义模块的方法是,在file菜单中选( )

A.New B.Save

C.Creat Default Symbol D.End Time

4、VHDL属于( )描述语言

A.普通硬件 B.行为 C.高级 D.低级

5、MAX+plusⅡ工具软件具有( )等功能

A.编辑 B.编译 C.编程 D.仿真

三、判断题:(每小题5分,共10分)

1、在原理图设计中,可以把input元件反转180°作为output元件使用( )

2、文本设计或原理设计,编译成功后都能封装为自定义符号( )

四、改错题:(共15分) 注:找出错误并改正

library ieee

use ieee.std_logic_1164.all;

entity nand2 is port (a,b, in std_logic;

EDA期末试题

y: out std_logic;

end nand2;

architecture nand2_1 of nand2 is

y=a nand b;

end nand2_1;

五、编程题:(每小题15分,共30分)

1、利用IF语言描述四选一数据选择器。

2、利用条件信号赋值语句描述四选一数据选择器

本文来源:https://www.bwwdw.com/article/siui.html

Top