2009 Medical Imaging Guide

更新时间:2023-09-03 16:30:02 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

Medical imaging Guide

Medical

ApplicationsGuide

Medical Imaging

Ultrasound

Computed Tomography (CT) ScannersMagnetic Resonance Imaging (MRI)Digital X-Ray

Positron Emmission

Tomography (PET) ScannersEndoscopePower Managementfor Medical Imaging

http://www.77cn.com.cn/medical4Q 2009

Medical imaging Guide

2

Medical Imaging

Overview

Medical Imaging Table of Contents

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2Ultrasound . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4Computed Tomography (CT Scanners) . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22Magnetic Resonance Imaging (MRI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28Digital X-Ray . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35Positron Emission Tomography (PET) Scanners . . . . . . . . . . . . . . . . . . . . . .41Endoscope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .47Power Management for Medical Imaging . . . . . . . . . . . . . . . . . . . . . . . . . . .50performance, yielding portability with high-quality images .

Medical and industrial ultrasound

systems use focal imaging techniques to achieve imaging performance far beyond a single-channel approach . By using an array of receivers, TI’s latest products for ultrasound enable high-definition images through time shift-ing, scaling and intelligently summing echo energy . This makes it possible to focus on a single point in the scan region; by subsequently focusing on other points, an image is assembled . See our interactive ultrasound system block diagram or read the ultrasound system design considerations for more information .

Product portfolio for ultrasound Analog application-specific signal chain products

The main function of a digital front end in an ultrasound system is to focus at a given depth and direction . The AFE58xx family of fully integrat- ed analog front ends offers parts 50 percent smaller than competitive solutions, with low power and low noise for superior image quality . The main function of T/R switches is to prevent high-voltage pulses from damaging the receive electronics . The TX810, an eight-channel

integrated T/R switch, is designed to address designers’ need to build smaller portable ultrasound systems while speeding time to market . The transmit beamformer, high- voltage (HV) pulser and HV

multiplexer form the transmit path responsible for the pulse-excitation of transducer elements . The TX734 isan integrated, quad-channel, +/–90-V pulser with active damping that reduces noise and minimizes size .

Medical imaging technology is continu-ally evolving and advancing, all with the goal of improving patient care . TI’s complete analog signal chain, power management, interface and embedded processing portfolios empower innova-tion in medical imaging by:

Enabling faster, more accurate diagnostic results .

Increasing the speed of delivery and availability of medical care worldwide . Improving accessibility and affordability of end equipments .There are two prevalent trends in semiconductor innovation for the medical imaging market:

Increased performance driven by the need for higher image quality .

Decreased power consumption and size to allow equipment designs that are more portable, accessible and affordable .

TI’s large portfolio of catalog and application-specific semiconductor products addresses major medical imaging modalities such as ultrasound, computed tomography (CT), magnetic resonance imaging (MRI), positron emission tomography (PET) and digital X-ray, as well as newer innovative modalities such as hyperspectral imaging, optical coherence tomogra-phy (OCT), or not even yet envisioned imaging solutions .

Power continues to be a key concern for all of these modalities, as well as for medical applications overall, so we have dedicated a chapter to it towards the end of this guide . Medical imaging modalities

Ultrasound

As ultrasound equipment becomes more compact and portable, it heralds a variety of health care applications that illustrate how advances in medi-cal technology are bringing care to patients instead of requiring them to travel . TI’s embedded processors and analog products facilitate advanced ultrasound system designs with low power consumption and high

Medical Imaging Guide

Embedded processors

TMS320C6474 and TMS320C6455 high-performance DSPs are suitable for ultrasound processing such as B-mode imaging, color Doppler, speckle reduction, 3-D/4-D and other processing and filtering algorithms .

OMAP35x SOCs are well suited to handle the operating system, con- nectivity and user interface require- ments in portable and hand-held ultrasound systems, while also being capable of handling processing

algorithms like color scan conversion .These products, along with TI’s power management products, clocks and interfaces, provide a full signal chain portfolio of targeted integrated circuit solutions for ultrasound .

Computed tomography (CT)

Computed tomography is a medi-cal imaging technique that produces three-dimensional images of internal human body parts from a large series of two-dimensional X-rays (called profiles) taken in a single-axis rotating structure called a gantry .

With ever-increasing density, flexibility and performance, semiconductors provide the performance necessary for the complex and intense image processing of a CT scan .

Texas Instruments 2009

Medical imaging Guide

Overview

The scanner’s data acquisition system comprises a number of channel cards with scintillator-photodiode solid-state detectors . The channel cards send digital data to the controller card and onto image-conditioning cards .Product portfolio for CT scanners Channel card front end and

control card subsystems, including data converters, processors, power management solutions and other analog products .

Single-chip solutions for directly digitizing low-level currents from photodiode arrays in CT scanners . DSPs with TI’s VelociTI VLIW architecture can provide accurate control of the gantry rotation, the movement of the table, the tilting of the gantry for angle images, and other real-time control and process- ing functions .

Voltage supervisors, DC/DC convert- ers, non-isolated power modules and low-dropout linear regulators to meet sequencing requirements .Magnetic resonance imaging (MRI)An MRI system produces physiologic images of the human body by using powerful magnets to create a field that forces hydrogen atoms in the body into a particular alignment . Radio frequency (RF) energy distributed throughout the body is interrupted by human the body is interrupted by human tissue; these disruptions correspond to various re-turn signals that create an image .Analog ICs and embedded proces-sors are playing a key role in improving the delivery speed and crisp detail of magnetic resonance images, leading to more accurate diagnoses and effective treatments . Accurate signal processing is key to high-quality MRI images .Product portfolio for MRIs

Some systems use high-speed pipe- line ADCs with wideband amplifiers to sample the intermediate frequency (IF) generated by the main magnet . Other systems mix the IF to base- band, allowing for the use of lower speed, higher resolution successive

Texas Instruments 2009

approximation registers (SARs) and delta-sigma ADCs .

High-resolution DACs can control the magnetic and RF energy in an MRI . DSPs like the TMS320C6452 can provide gradient processor control for properly controlling the magnets and preprocess the signal before it reaches the image reconstruction engine .

Other products for MRI systems and equipment manufacturers include operational amplifiers, clocking distribution, interface and power management devices .

Digital X-rays

Digital X-rays – made possible because of technologies like digital signal processing – are revolutionizing

cessing – are revolutionizing diagnos-tic radiology and spurring innovative new applications, such as their use in surgical procedures . A key benefit of digital X-rays is the ability to store and transfer the digital images, allowing for the outsourcing of radiological services or easy access to remote and/or specialized analysis .

A conventional X-ray system,

regardless of whether its individual components are optimized, captures less than 40 percent of the original image information . By adding a digital detector to X-ray imaging, it’s now possible to capture more than 80 percent of the original image informa-tion and to use a wide range of post-processing tools to improve the image even further .

Other digital X-ray technology advanc-es made possible by semiconductor technology include:

Faster diagnoses by eliminating photographic processing time and facilitating quick transmission over network connections .

Reduced costs by eliminating photographic processing film and chemicals .

Processing only the image data that highlights regions of interest, suppressing irrelevant information .

Combining image data with

other pertinent radiology information system (RIS) and hospital informa- tion system (HIS) records .

Archiving all relevant information efficiently .

Product portfolio for digital X-rays High-performance DSPs for control functions and signal conditioning to acquire and improve the clarity of the image .

Analog front ends (AFEs) capable of multiplexing the charges on different flat detector panels (FDPs), storage caps (channels) and converting

these charges into voltage for direct conversion X-rays . AFEs also

convert the signal level and its reset voltages to digital data and correct sensor errors in indirect conversion X-rays .

Temperature sensors, DACs,

amplifiers and high-input voltage- capable switching regulators are other key system blocks .

Power management and other analog products .

Positron emission tomography (PET) Like an MRI, positron emission tomography is a non-invasive

diagnostic technology . Used to identify growing cancer cells, for example, a PET scan uses radiation emissions from the body (generated by radioac-tive chemical elements consumed by the patient) to produce physiologic images of specific organs or tissues . Product portfolio for PET scanners Amplifiers, power management products and other analog parts are suitable for converting radio- active emissions to light and reconstruct and correct images .

DSPs such as the TMS320C6455 can handle tasks such as varying input amplifier gain and controlling the photomultiplier tube (PMT) high- voltage power supply and motion control for detector ring assembly and patient entry/exit . DSPs are also suitable for PET scanner control and signal processing units .

Medical Imaging Guide

Medical imaging Guide

4

Medical Imaging

Ultrasound

the transducer element immediately switches into receive mode . The pulse, now in the form of mechanical energy, propagates through the body as high-frequency sound waves, typically in the range of 1 to 15 MHz . As it does, the signal weakens rapidly, falling off as the square of the distance traveled . As the signal travels, portions of the wavefront energy are reflected back to the transducer/receiver .

Limits on the amount of energy that can be put into the body require that the industry develop extremely sen-sitive receive electronics . At focal

points close to the surface, the receive echoes are strong, requiring little if any amplification . This region is referred to as the near field . At focal points deep in the body, the receive echoes will be extremely weak and must be amplified

Integrated HV PulserOR

Standard Signal Chain

High Voltage Linear Amplifier

HV MUX/DEMUX

PassiveLPF

Ultrasound systems

Medical and industrial ultrasound

systems use focal imaging techniques to achieve imaging performance far beyond what can be achieved through a single-channel approach . Using an array of receivers, a high-definition image can be built by time shifting, scaling and intelligently summing

echo energy . Time shifting and scaling receive signals from a transducer array provide the ability to focus on a single point in the scan region . By subse-quently focusing on a different point, an image is assembled .

When initiating a scan, a pulse is generated and transmitted from each of the eight to 512 transducer elements . These pulses are timed and scaled to illuminate a specific region of the body . After transmitting,

by a factor of 1,000 or more . This region is referred to as the far field . These regions represent the

two extremes in which the receive electronics must operate .

In the high-gain (far field) mode, the performance limit is the sum of all noise sources in the receive chain . The two largest contributors of receive noise are the transducer/cable assembly and the receive low-noise amplifier (LNA) . In the low-gain mode (near field), the performance limit is defined by the

magnitude of the input signal . The ratio between these two signals defines the system’s dynamic range . Many receive chains integrate the LNA with a voltage- controlled attenuator (VCA) and a programmable gain amplifier (PGA) .

Front End

DAC

TransmitBeamformerReceiveBeamformer

BeamformerControl Unit

T/RSwitches

Signal ChainPowerTempSense

REF

Core and I/OPower

Amplifier Stage

LNA

Transducer

Amplifier + FilterA

PGA

Low Pass

Filter

Analog Front

EndEnd

ADC

Clocking

Mid

Preprocessing

VCA

Back End

Time GainControl

DAC

Spectral

DopplerProcessing

ADC

B ModeProcessing

Color/PowerDopplerProcessing

CW (analog)Beamformer

Scan ConversionPost Processing

Power

Plug

AC Line

AC/DC SupplyWith Green Mode

Controller

SystemPowerSupply VoltageSupervisor

LPWRS232USB1394802.11

Ultrasound OS/UI

AudioAmp

Audio Output

Display

MMC/SDIO

IDE/ATA

Main Power SupplyMainPowoweroSupply

Common Interfaces

BacklightTouchscreen

LEGEND

Product Availability and Design Disclaimer - The system block diagram depicted above and the devices recommended are designed in this

manner as a reference. Please contact your local TI sales office or distributor for system design specifics and product availability.

ProcessorInterfaceRF/IFAmplifierLogicPowerADC/DACClocksOther

Ultrasound system block diagram.

Medical Imaging Guide

Texas Instruments 2009

Medical imaging Guide

Low-pass filtering is typically used between the VCA/PGA and ADC as an anti-aliasing filter and to limit the noise bandwidth . Depending on the specific system, two- to five-pole filter linear phase topologies can be found there . In selecting an op amp, the primary considerations include signal swing, minimum and maximum input frequencies, harmonic distortion, and gain requirements .

Analog-to-digital converters (ADCs) are typically 10- and 12-bit . SNR and power consumption are the most

important issues, followed by channel integration . Another trend in ADCs is the implementation of an LVDS interface between the ADC and the beamformer . By serializing the data coming out of the ADC, the number of interface lines can be reduced . This reduction enables high system integration densities, which translates to smaller and lower cost PC boards — an essen-tial part of portable imaging systems .The front end of the digital part of the system takes in data from a number of ADCs, commonly referred to as the channel count . This number can vary from eight for ultra-portable systems

to 512 for high-end devices . For 3-D and 4-D systems, this number can be even higher . The main function of the digital front end is to perform focusing at a given depth and direc-tion . This beamforming is performed by resampling the ADC output at a higher rate, properly delaying the resampled data, multiplying by a weight (apodization factor), and then summing all the weighted and delayed outputs . Both the I/O and computa-tional requirements for this process are extremely high .

Traditionally, FPGAs and custom ASICs have been used for digital beamform-ing, but today DSPs provide the ability to handle much of the required compu-tational load . DSPs are also well suited to handle the real-time aspects of the beamforming controller, which may vary the delay and apodization profile required for beamforming based on the depth and direction of the beam .The beamformed data is then passed through a mid-processing block

where various filtering is performed to reduce noise and properly extract the ultrasound RF data . This is followed by demodulation to create complex

baseband data . Adaptive processing based on the depth and angle of measurements is sometimes used to get an optimized ultrasound image .

The output from the mid-processing stage is handled in the back-end in various ways . For B-mode imaging, the data envelope is compressed to bring it to the dynamic range of the human eye . Additional image enhancement, noise reduction and speckle reduction algorithms are performed . The data is then scan converted to the final output display form and size . For Doppler processing, velocity and turbulence are estimated in the color flow mode, and power is estimated in the power Doppler mode . These estimates are again scan converted to the final output display form and size .

An assignment of color to the esti-mates is also necessary for proper display . In spectral Doppler mode, a windowed and overlapped FFT is taken to estimate the spectrum . It is also customary to present the Doppler data, after separation of forward and reverse flow, in the form of audio . All of these intensive signal processing computations are well suited for DSPs .

View the “Flexible Design, Low Power for Ultrasound Systems” video at: http://www.77cn.com.cn/ultrasoundvideo

TI Embedded Processor Software Toolkit for Medical Diagnostic Ultrasound (STK-MED)

Get more information at: http://www.77cn.com.cn/medicaltoolkit

View the “Ultrasound Scan Conversion Demo on OMAP3530” video at: http://www.77cn.com.cn/stkvideoKey features

Common ultrasound algorithms

optimized for the C64x+TM DSP core Standard APIs

Tested, benchmarked, documented library modulesApplications

Medical diagnostic ultrasound Medical imaging

Demos/Open source site http://www.77cn.com.cn/ultrasounddemo

Demo of scan conversion module running on OMAP3530 Open Source of OMAP3530 demo’s software framework

Texas Instruments 2009

The STK-MED is a collection of several standard ultrasound algorithms optimized for TI’s C64x+ DSP architecture . The algorithms showcase how ultrasound processing functions can leverage the C64x+ DSP architecture for efficient performance and power consumption . The goal of the STK-MED is to shorten customer development time by providing highly optimized C64x+ DSP source code of common ultrasound processing blocks .

Medical diagnostic ultrasound processing functions in STK-MED

Doppler processing (color flow, power estimator, wall filter) RF demodulation and decimation

DAS beamforming Scan conversion Optimized math utilities

Medical Imaging Guide

Medical imaging Guide

Integrated 8- and 16-Channel Analog Front EndsAFE5801, AFE5851

Get samples, datasheets and evaluation modules at: http://www.77cn.com.cn/sc/device/AFE5801 or http://www.77cn.com.cn/sc/device/AFE5851Key features

Integrated VCA, PGA, LPF, 12-bit 65-MSPS ADC AFE5801: 8 channels

50 mW per channel at 30 MSPS 58 mW per channel at 50 MSPS AFE5851: 16 channels

39 mW per channel at 32 .5 MSPS Digital gain control removes external DAC for smaller footprint and mini-mized noise

Packaging: 9 x 9mm QFN Applications Ultrasound

The AFE5851 is the first 16-channel AFE available for the ultrasound market . The device features 39 mW/channel at 32 .5 MSPS and contains 16 variable-gain amplifiers (VGAs), followed by eight 12-bit, 65 MSPS analog-to-digital converters (ADCs) . Each ADC is shared between two VGAs and each VGA differential output is sampled at alternate clock cycles to optimize power dissipation . The ADC has scalable power consumption to enhance the lower power with lower sampling rates . The high channel count and low-power features of the AFE5851 allow for increased channel density in handheld ultrasound systems .

Both the AFE5851 and AFE5801 can be preceded by an off-chip low-noise ampli-fier (LNA), which can be on the probe or be a transformer . This new architecture enables customers

to have more than

40 percent less

LVDS

power and a OUT16 70 percent smaller Channels

analog front-end footprint for hand-held ultrasound

systems .

AFE5851 functional diagram.

Fully Integrated 8-Channel Analog Front Ends

AFE5804, AFE5805

Get samples, datasheets and evaluation modules at: http://www.77cn.com.cn/sc/device/AFE5804 or http://www.77cn.com.cn/sc/device/AFE5805View the “AFE5805 8-Channel Analog Front-End for Ultrasound” video at: http://www.77cn.com.cn/afe5805videoKey features

Integrated LNA, VCA, PGA, LPF, 12-bit 50-MSPS ADC AFE5805

122 mW per channel at 40 MSPS

—–

0 .85 nV/√Hz at 2 MHz

250 mVpp linear input range AFE5804

101 mW per channel at 40 MSPS

—–

1 .23 nV/√Hz at 2 MHz

280 mVpp linear input range LNA fixed gain: 20 dB

VCA gain can vary over a 46 dB range with a 0- to 1 .2-V control voltage PGA programmable for gains of 20 dB, 25 dB, 27 dB and 30 dB

Packaging: 135-pin 15 x 9 mm BGAApplications Ultrasound

Medical Imaging Guide

The AFE5804 and AFE5805 are integrated analog front-end solutions designed specifically for portable ultrasound systems . The AFE5804 features mode control for power/noise optimization . It has the low-power performance at 101 mW per channel while maintaining superior image quality . With a superior low-noise feature

—–

of 0 .85 nV/√Hz at 2 MHz, the AFE5805 achieves performance suitable not only for portable equipment but also for high-channel-density, mid-range ultrasound systems .

The AFE5804 and AFE5805 consist of eight channels, including a low-noise amplifier (LNA), voltage-control attenuator (VCA), programmable-gain amplifier (PGA), low-pass filter (LPF) and 12-bit, 50-MSPS analog-to-digital converter (ADC) with LVDS data outputs . The LVDS outputs of

the ADC reduce the

LVDSnumber of interface OUT8 CH1lines to an ASIC or Channels

FPGA, thereby enabling CH8the high system

integration densities

desired for portable

systems .

AFE5805 ultrasound analog front end.

Texas Instruments 2009

Medical imaging Guide

Ultrasound

Integrated, 8-Channel T/R SwitchTX810

Get samples, datasheets and evaluation modules at: http://www.77cn.com.cn/sc/device/TX810View the “First Integrated Ultrasound T/R Switch” video at: http://www.77cn.com.cn/tx810video

Key features

Integrates a protection diode bridge and clamp diodes for each of its eight channels

Programmable bias currents

3-bit interface used to program a 7-mA range of bias currents Power-down mode to reduce power consumption

Optimized insertion loss at 400_ load with 0 .9 dB at 7-mA bias current and 1 .3 dB at 1-mA bias current

Eight integrated channels save more than 50 to 75 percent board space over discrete solutions, depending on T/R architectures

The TX810 addresses designers’ need to build smaller portable ultrasound systems, while speeding time to market . The TX810 integrates a protection diode bridge and clamp diodes for each of its eight channels to prevent the high-voltage pulses of the transmitter from damaging the receive electronics of the ultrasound system . The device saves more than 50 percent board space over discrete solutions in portable to mid-range ultrasound systems, and the component reduction and easier handling brings higher reliability to designs .

Integration allows for faster designs Applicationsand higher reliability due to fewer Ultrasounddevices and easier handling of components

Optimized insertion loss maintains

integrity of input/output signal at 400

ohm load with 0 .9 dB at 7-mA bias current and 1 .3 dB at 1-mA bias current

+/- 90V, 2A, Quad-Channel PulserTX734

Get samples, datasheets and evaluation modules at: http://www.77cn.com.cn/sc/device/TX734View the “TX734 Product Overview” video at: http://www.77cn.com.cn/tx734videoKey features

Quad channel Voltage: +/-90V

Peak output current: +/-2A Active damping True 3-level RTZ Internally activated

Imaging output frequency: Up to 20MHz

Logic inputs: 2 .5V to 5V

Low HD2 distortion: -40dBc, at 5MHzApplications Ultrasound

The TX734 addresses the needs of high-density systems that require a three-level, high-voltage pulse pattern by integrating four channels of level translators, drivers, high-voltage output stage and active damping into a 64-pin, 9 mm x 9 mm QFN package .

Active damping, also called fast clamping to ground, allows for a clean three-level return-to-zero (RTZ) waveform . This improves pulse symmetry and delivers low second order distortion of -40 dB . The active damping feature in the TX734 prevents noise from being injected into the transducer, which improves signal sensitivity by at least 5 dBc, resulting in better image quality .

PN

PN

PN

PN

Texas Instruments 2009 Medical Imaging Guide

Medical imaging Guide

8-Channel, Ultra-Low-Power, 12- and 10-Bit, 50- to 65-MSPS Analog-to-Digital Converters with Serialized LVDS InterfaceADS5281, ADS5282, ADS5287

Get samples, datasheets and evaluation modules at: http://www.77cn.com.cn/sc/device/PARTnumber(Replace PARTnumber with ADS5281, ADS5282 or ADS5287)Key features

8-channel 12- or 10-bit ADCs in one small 64-pin QFN package

ADS5281 also available in 80-pin TQFP pin-compatible to ADS527x 77 mW per channel at 65 MSPS 64 mW per channel at 50 MSPS 70 dB SNR for 12 bits at 10-MHz IF 1/f (flicker) noise suppression

Up to 6 dB overload recovery in one clock cycle

Individual channel power down Direct interface with VCA8500 8-channel variable-gain amplifier Xilinx-supported deserializer codeApplications

Medical and other imaging: Ultrasound MRI PET

IN1IN1

The ADS5281 family provides eight high-performance ADCs in a small 64-pin QFN package, making it possible to implement high channel counts in high-performance ultrasound and other medical imaging systems . The low power dissipation per

channel aids in making compact ultrasound equipment where space and battery life

are at a premium, and in conjunction with the VCA8500, offers a high-performance LNA-to-digital solution for less than 130 mW per channel in ultrasound applications . The ADS5281 family also incorporates advanced features to optimize system performance, including programmable gain from 0 to 12 dB in 1-dB steps, 1/f (flicker) noise suppression and 6-dB input overload recovery within one clock cycle . Available with 12-bit resolution at 50 and 65 MSPS and 10-bit resolution at 65 MSPS, the ADS5281 family has the flexibility to offer an optimal solution for the entire spectrum of imaging systems .

(ADCLK)CLKP

(AVSS)CLKN

AVDD(3.3V)

LVDD(1.8V)

LCLKPLCLKNADCLKPADCLKN

OUT1POUT1N

Channels2 to 7

IN8

PIN8N

OUT8POUT8N

INT/REFT

REFB

VCM

SDATASCLK

ISET

ADS5281/2/7 functional diagram.

Medical Imaging Guide

PD

Texas Instruments 2009

Medical imaging Guide

8-Channel Variable-Gain Amplifier with Low-Noise AmplifierVCA8500

Get samples, datasheets and evaluation modules at: http://www.77cn.com.cn/sc/device/VCA8500Key features

Ultra-low power: 65 mW/channel

—–

Low noise: 0 .8 nV/√Hz Low-noise amplifier (LNA): 20-dB fixed gain

250-mVPP linear input range Variable-gain amplifier:

Gain control range: 46 dB Selectable PGA gain: 20/25/27/30 dB

Fast overload recovery Output clamping controlApplications Medical imaging: Ultrasound Sonar

The VCA8500 is an eight-channel variable-gain amplifier consisting of a low-noise amplifier (LNA) and a variable-gain amplifier (VGA) . This combination, along with the device features, makes it ideal for a variety of ultrasound systems .

The ultra-low-power spec of 65 mW/channel is optimized for portable ultrasound systems requiring low power consumption and for mid-range systems increasing their number of channels per system while maintaining stringent low-power requirements .The low-noise spec

—–

of 0 .8 nV/√Hz, the LNA fixed gain at 20 dB and VGA gain control range at 46 dB provide excellent noise- and signal-handling

characteristics for improving image quality in ultrasound systems .

Control

Functional diagram.

Ultra-Wideband, Current-Feedback Op Amp with DisableOPA695

Get samples, datasheets, tools and application reports at: http://www.77cn.com.cn/sc/device/OPA695Key features

Gain = +2 bandwidth (1400 MHz) Gain = +8 bandwidth (450 MHz) Output voltage swing: ±4 .2 V Ultra-high slew rate: 4300 V/µs Low power: 129 mW

Low disabled power: 0 .5 mW Packaging: SOT23-6, SO-8Applications

Input/Load Voltage (V)

The OPA695 is a single-channel, very broadband, current feedback operational amplifier . As a gain of +2V/V line driver, it offers 1 .4-GHz bandwidth with 2900-V/µs slew rate . These give a 0 .8-ns rise time for a 2-V output step — more than adequate for the highest speed video requirements . Single supply operation extends from +5-V to +12-V to span the most popular supplies used for fixed gain IF amplifiers . The OPA695’s low 12 .9-mA supply current is precisely trimmed at +25°C . This trim, along with a low temperature drift, gives low system power over temperature .

1.2

1

Very wideband ADC driver

Low-cost precision IF amplifier Broadband video line driver

VIN

0.80.60.40.20

–0.2

Time (1ns/div)

Video line driver with a gain of +2V/V and typical pulse-response waveforms.

Texas Instruments 2009

Medical Imaging Guide

Medical imaging Guide

Dual, Wideband, Current-Feedback Op Amp with DisableOPA2695

Get samples, datasheets and evaluation modules at: http://www.77cn.com.cn/sc/device/OPA2695Key features

Gain = +2V/V bandwidth (850 MHz) or +8V/V bandwidth (450 MHz) Slew rate: 2900 V/µs

Output voltage swing: ±4 .1 V Low quiescent current: 12 .9 mA/channel

Low disable current: 200 µA/channel Single (OPA695) and triple (OPA3695)

Packaging: SO-8 (without disable), QFN-16 (with disable)Applications

Very wideband ADC drivers Portable instruments Active filters

Low-cost precision IF amplifiers

The OPA2695 is a wide-bandwidth, current-feedback amplifier with disable that

—–

features an exceptional 2900 V/µs slew rate and low 1 .8 nV/√Hz input voltage noise . The device has been optimized for high gain operation . The pinout provides symmetrical input and output paths, making the OPA2695 well suited as

a differential ADC driver . The low 12 .9 mA/channel supply

current is precisely trimmed at +25°C . This trim, along with a low temperature drift,

gives low system power O

over temperature .Differential driver.

Dual, Low-Power, Wideband, Voltage-Feedback Op Amp with Disable

OPA2889

Get samples, datasheets and evaluation modules at: http://www.77cn.com.cn/sc/device/OPA2889Key features

Flexible supply range:

+2 .6-V to +12-V single supply ±1 .3-V to ±6-V dual supply Slew rate: 250 V/µs

Output voltage swing: ±4 V

Wideband ±5-V operation: 60 MHz (G = +2V/V)

Low quiescent current: 460 µA per channel

Low disable current: 18 µA Packaging: SO-8, MSOP-10Applications

High-speed imaging channels ADC buffer

Portable instruments Active filters

The OPA2889 is a dual, wideband, low-power amplifier with disable . The new internal architecture offers slew rate and full-power bandwidth previously found only in wideband current-feedback amplifiers . These capabilities, coupled with a very low quiescent current of only 460 µA per channel, make it very well-suited for portable instrumentation . Operating from a ±5 V supply, the OPA2889 can deliver a ±4-V output swing with over 40-mA drive Vcurrent and 60-MHz bandwidth, making it ideal as an RGB line driver, single-supply analog-to-digital (ADC) input driver or low-power twisted-pair line receiver .

Low-power, DC-coupled, single-to-differential driver for ≤100-kHz inputs.

Medical Imaging Guide

Texas Instruments 2009

Medical imaging Guide

TMS320DM6446 Digital Media Processors Featuring DaVinci TechnologyTMS320DM6446

Get samples, datasheets, tools and application reports at: http://www.77cn.com.cn/davinciKey features

High-performance digital media SoC594-MHz C64x+ clock rate297-MHz ARM926EJ-S clock rateEight 32-bit C64x+ instructions/cycle

4752 C64x+ MIPS

Fully software compatible with C64x+/ARM9

Load-store architecture with non-aligned support

Sixty-four 32-bit general-purpose registers

Instruction packing reduces code size Video processing subsystem

CCD and CMOS imager interfacePreview engine for real-time image processing

Glueless interface to common video decoders

Embedded trace buffer (ETB11) with 4-KB memory for ARM9 debuggingApplications

Medical imaging Digital media

Networked media encode/decode

TMS320DM6446 digital media processors are ideal for ultrasound and various other medical imaging products . TMS320DM6446 digital media processors are highly integrated SoCs based on an ARM926EJ-S processor and the

TMS320C64x+ DSP core . They leverage TI’s DaVinci technology to meet the networked media encode and decode application processing needs of next-generation embedded ICs . The TMS320DM6446/41 enable developers to quickly bring to market devices featuring robust operating systems support, rich user interfaces, high processing performance and long battery life through the maximum flexibility of a fully integrated mixed-processor solution .

TMS320DM6446 block diagram.

Texas Instruments 2009 Medical Imaging Guide

Medical imaging Guide

High-Performance Processor Integrates Three 1-GHz CoresTMS320C6474

Get samples, datasheets, tools and application reports at: http://www.77cn.com.cn/sc/device/TMS320C6474

Key features

Delivers 3x the raw DSP processing performance

Gain in raw performance: 50 percent per watt

Integrates three 1-GHz cores on a single chip

Multiple-channel processing capabilities

Serial/deserializer (SERDES) interfaces

L1 program and L1 data memory per core: 32 KB

DDR2 memory interface: 667 MHz Process shrink to 65-nm feature sizeApplications

Medical imaging

High-performance systems Multichip system designs Projects with stringent power budgets

The TMS320C6474 multicore digital signal processor (DSP) saves cost, power and board space by integrating three industry-leading cores running at 1 GHz, each on a single die . The C6474 delivers 3 GHz of raw DSP performance that consumes one-third less power at two-thirds less DSP cost over discrete processing solutions . This DSP provides significant system integration for designers using DSP farms in various applications .

Texas Instruments 2009

TMS320C6474 DSP block diagram.

TMS320C645x DSP Generation, Fixed-Point Highest Performance DSPsTMS320C6455

Get samples, datasheets, tools and application reports at: http://www.77cn.com.cn/sc/device/TMS320C6455Key features

Based on the new TMS320C64x+ core 720 MHz, 850 MHz, 1 GHz Memory:

32-KB L1D, 32-KB L1P cache/SRAM

2-MB L2, 256K cache/SRAM, remainder SRAM only Acceleration:

Viterbi decoder coprocessor (VCP) Turbo decoder coprocessor (TCP) Peripherals:

Serial RapidIO: 10 GbPs full duplex

TMS320C64x+ DSPs (including the TMS320C6455) are the highest per formance, fixed-point DSP generation in the TMS320C6000 DSP platform . The C6455 IC is based on the third-generation, high-performance, advanced VelociTI very-long instruction-word (VLIW) architecture developed by TI . This allows these DSPs

to be used for applications including medical imaging, video and telecom infra-structure, imaging, and wireless infrastructure (WI) . The C64x+ ICs are upward code-compatible from previous ICs that are part of the C6000 DSP platform .

Two EMIFs: 32-bit DDR2, 64-bit Applications Ultrasound Digital X-ray Medical imaging

EMIF

Other high-bandwidth peripherals: Gigabit Ethernet MAC, UTOPIA, PCI-66, HPI

Medical Imaging Guide

Medical imaging Guide

Industry’s Lowest Power Floating-Point DSPsTMS320C6747

Get samples, datasheets, tools and application reports at: http://www.77cn.com.cn/sc/device/TMS320C6747

Key features

Industry's lowest power floating-point DSPs

High precision and wide dynamic range enabled through the 32-/64-bit accuracy of the floating-point DSP core

Portability for traditionally wired applications through low power and rich connectivity peripherals

Reduced system cost through high feature integration and low pricing Up to 20x lower standby power and one-third the power consump-tion of existing floating-point devices

The TMS320C6747 DSP combines low power and high precision to give designers the freedom to bring portability to medical and other applications requiring the precision, wide dynamic range and time-to-market benefits of floating-point DSPs . Using three times less power than existing floating-point DSPs, C674x devices support 32-bit single-precision and 64-bit double- precision floating-point architecture and are the industry’s lowest power floating-point DSPs .

Applications

Portable ultrasound Industrial

Conference phones Music effects

TMS320C6747 DSP block diagram.

Texas Instruments 2009 Medical Imaging Guide

Medical imaging Guide

High-Performance Applications ProcessorOMAP3530

Get samples, datasheets, tools and application reports at: http://www.77cn.com.cn/sc/device/OMAP3530Key features

OMAP3530/25 applications processor

Advanced very-long-instruction-word (VLIW) TMS320C64x+ DSP core C64x+ L1/L2 memory architecture C64x+ instruction set features ARM Cortex-A8 core

ARM Cortex-A8 memory architecture 112-KB ROM

64-KB shared SRAM

OMAP3530 and OMAP3525 are high-performance applications processors based on the enhanced OMAP 3 architecture . The OMAP 3 architecture is designed to provide best-in-class video, image and graphics processing sufficient to support streaming video, 2-D/3-D mobile gaming, video conferencing and high-resolution still image . It also supports video capture in 2 .5G wireless terminals, 3G wireless terminals, rich multimedia-featured handsets and high-performance personal digital assistants (PDAs) . Applications

Ultrasound

Ultra mobile devices

Portable data collection Digital video camera

OMAP3530 functional diagram.

Medical Imaging Guide Texas Instruments 2009

Medical imaging Guide

DLP® Discovery 4100

Get more information at: http://www.77cn.com.cn/dmdKey features

±12° mirror operation Fill factor > 91percent

Works with visible, near-infrared and ultraviolet light

DLP chip: Options include 0 .95" 1080p, 0 .7" XGA, 0 .55" XGA 2xLVDS DAD2000 power and reset driver Generates reset control of 16 banks of DLP mirrors DDC4100 digital controller

Provides high-speed (400 MHz) LVDS data and control interface and provides mirror reset and

timing information to the DAD2000 Supports random row addressingApplications

Vascular imaging Phototherapy Chemical analysis

3-D metrology Genomics

Surgical lighting

The DLP® Discovery 4100 Kit offers developers high perform ance and high resolution with the new 0 .95" 1920 x 1080p 2xLVDS DLP chip, an optical semi-conductor module that allows developers to manipulate light digitally . When integrated with a light source and optics, this unique device creates binary light patterns with speed, precision and efficiency surpassing that of other spatial light modulators . The Discovery 4100 Kit provides substantial performance improvements including increased data rate, frame rate and flexible, random row addressing . The Discovery 4100 also supports the 0 .55" diagonal and 0 .7" XGA 2xLVDS chipsets .The DLP Discovery 4100 chipset features the DLP chip and other supporting components . Unlike TI DLP controllers optimized for projection display, The

Discovery 4100 is designed to support a wide variety of DLP-based applications by delivering maximum

flexibility in format ting

and sequencing data light patterns .

DLP® Discovery 4100 chipset block diagram.

ProjectionLens0°

LightSource

How the light is steered.DLP® Discovery 4100 starter kit.

DLP®

Discovery DMD Comparison

Texas Instruments 2009 Medical Imaging Guide

Medical imaging Guide

Ultrasound

DLP® Pico Projector Development KitDLP1PICOKIT

For more information, visit http://www.77cn.com.cn/dmdKey features

Very small form factor with

dimensions of 44 .8 x 67 .4 x 14 .2 mm3 Interfaces to the Beagle Board and other EVMs to expedite development I2C command interface Applications

Portable display devices 3-D optical measurement Augmented reality

Embedded display devices Microscopy

Medical imaging

The DLP Pico Projector Development Kit is a new way of enabling developers to integrate digital projection into innovative applications . The projection device utilizes the DLP 0 .17-inch HVGA chipset with a light engine containing three solid-state color LEDs as a low-power light source . The kit includes a power supply and video cable that supports a DVI-D signal . Users are able to interface the Pico Projector to evaluation modules, such as the Beagle Board, offering design flexibility and a means to expedite development . With such a small form factor, this development kit is well suited for incorporating digital projection into portable devices . The DLP Pico Projector Development Kit is a fully integrated projection solution that enables a vast array of new and portable medical devices .

DLP® Pico Projector Development Kit

Pico Projector Specifications

Medical Imaging Guide Texas Instruments 2009

Medical imaging Guide

Preview products are listed in bold blue.

Texas Instruments 2009 Medical Imaging Guide

Medical imaging Guide

Preview products are listed in bold blue.

Medical Imaging Guide Texas Instruments 2009

Medical imaging Guide

Preview products are listed in bold blue.

Texas Instruments 2009 Medical Imaging Guide

本文来源:https://www.bwwdw.com/article/8epi.html

Top