基于单片机的直流电机闭环调速系统的设计-精品

更新时间:2023-12-16 04:32:01 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

毕业设计说明书

基于单片机的直流电机闭环调速

系统的设计

学生姓名: 学号:

学 院:

系 名: 专 业: 指导教师:

2012 年 6 月

XXX学校XXX届毕业设计说明书

基于单片机的直流电机闭环调速系统的设计

摘要:在运动控制系统中,电机转速控制占有至关重要的作用,其控制算法和手段有很多,模拟PID控制是最早发展起来的控制策略之一,长期以来形成了典型的结构,并且参数整定方便,能够满足一般控制的要求,但由于在模拟PID控制系统中,参数一旦整定好后,在整个控制过程中都是固定不变的,而在实际中,由于现场的系统参数、温度等条件发生变化,使系统很难达到最佳的控制效果,因此采用模拟PID控制器难以获得满意的控制效果。随着计算机技术与智能控制理论的发展,数字PID技术渐渐发展起来,它不仅能够实现模拟PID所完成的控制任务,而且具备控制算法灵活、可靠性高等优点,应用面越来越广。

本设计以上面提到的数字PID为基本控制算法,以AT89S51单片机为控制核心,产生占空比受数字PID算法控制的PWM脉冲实现对直流电机转速的控制。同时利用霍尔传感器将电机速度转换成脉冲频率反馈到单片机中,实现转速闭环控制,达到转速检测目的。在系统中采用LCD1602显示器作为显示部件,通过5个按键来实现正反转和加减速控制,启动后可以通过显示部件了解电机当前的转速。电机驱动部分采用的是专门的驱动芯片来实现正反转控制。 关键词:数字PID,PWM脉冲,直流电机

XXX学校XXX届毕业设计说明书

DC motor closed-loop speed control system based on single-chip design

Abstract:In the motion control system, the control of electro motor's rotate speed is of great importance, there are a lot of speed control arithmetics and methods , the analog PID control is one of the earliest developed control policies which has formed typical structure, its parametric setting is convenient and it's easy to meet normal control's demand, but as the whole control process is fixed once the parameter has been set while practically the changes of those conditions like the system parameters and temperature of the environment prohibit the system from reaching its best control effect, so the analog PID controller barely has satisfied effect. With the development of computer technology and intelligent control theory , the digital PID technology is thriving which can achieve the analog PID's control tasks and consists of many advantages like flexible control arithmetics and high reliability, it is widely used now.

This design is based on the digital PID mentioned above as basic control arithmetic and AT89S51 SCM as control core, the system produces PWM impulse whose duty ratio is controlled by digital PID arithmetic to make sure the running of direct current machine's rotate speed. At the same time using Holzer sensors will be converted into pulse frequency motor speed feedback to the MCU, realize the speed closed-loop control, to achieve speed detection. In a system employing a LCD1602 monitor as a display part, through the 5 keys to achieve positive and acceleration deceleration control, can start the display part understanding the motor current speed. The motor drive part is used in specialized drive chip to achieve positive control.

Keywords: digital PID, PWM impulse, DC motor

XXX学校XXX届毕业设计说明书

目 录

1 引言??????????????????????????????????1 2 PID算法及PWM控制技术简介???????????????????????2 2.1 PID算法???????????????????????????????2 2.1.1 模拟PID??????????????????????????????2 2.1.2 数字PID??????????????????????????????3 2.1.3 数字PID参数整定方法????????????????????????5 2.2 PWM脉冲控制技术???????????????????????????9 2.2.1 PWM控制的基本原理?????????????????????????9 2.2.2 直流电机的PWM控制技术???????????????????????10 3 硬件电路设计部分???????????????????????????12 3.1 系统设计方案????????????????????????????12 3.2 控制器模块设计方案?????????????????????????12 3.3 电机驱动模块设计方案????????????????????????12 3.4 电源模块设计方案??????????????????????????13 3.5 速度采集设计模块??????????????????????????14 3.6 显示模块设计方案??????????????????????????14 3.6.1 引脚分布和接口信号说明??????????????????????14 3.6.2 LCD液晶电路???????????????????????????15 4 软件设计部分?????????????????????????????17 4.1 驱动电力程序流程??????????????????????????17 4.2 直流电机的中断键盘控制模块?????????????????????17 4.2.1 外部中断设置???????????????????????????17 4.2.2 外部中断扩展???????????????????????????18 4.3 显示程序流程图???????????????????????????20 5 系统功能调试?????????????????????????????21 5.1 PROTEUS使用????????????????????????????21 5.2 电路仿真??????????????????????????????22

第Ⅰ页 共Ⅱ页

XXX学校XXX届毕业设计说明书

6 结论?????????????????????????????????25 附录A 系统总电路图???????????????????????????26 附录B 源程序??????????????????????????????27 参考文献????????????????????????????????35 致 谢??????????????????????????????????37

第Ⅱ页 共Ⅱ页

XXX学校XXX届毕业设计说明书

1 引言

21世纪,科学技术日新月异,科技的进步带动了控制技术的发展,现代控制设备的性能和结构发生了翻天覆地的变化。我们已进入高速发展的信息时代,控制技术成为当今科技的主流之一,广泛深入到研究和应用工程等各个领域[1]。

控制理论的发展经历了古典控制理论、现代控制理论和智能控制理论三个阶段。其控制系统包括控制器﹑传感器﹑变送器﹑执行机构﹑输入输出接口。不同的控制系统、传感器﹑变送器执行机构是不一样的。比如压力控制系统要采用压力传感器。电加热控制系统的传感器是温度传感器。目前,PID控制及其控制器或智能PID控制器已经很多,产品已在工程实际中得到了广泛的应用。

受益于数十年来全球经济高速成长所获得的PID控制成果,在中国市场,一大批机器设备制造商正处于蓬勃发展阶段,除满足本土市场庞大的机器设备需求外,走向国际市场,参与国际竞争也成为现实需求。在应用方面,这种控制技术已经渗透到了医疗、汽车制造、铁道运输、航天航空、钢铁生产、物流配送、饮料生产等多个方面。但是由于中国科技落后,为此,我们需要更进一步的学习、掌握与应用先进的控制技术与解决方案,以提升设备性能、档次与市场竞争力。在国外,尤其在运动控制及过程控制方面PID控制技术的应用更是越来越广泛和深入[3]。随着科技的进步,人们对生活舒适性的追求将越来越高,PID控制技术作为一项具有发展前景和影响力的新技术,正越来越受到国内外各行业的高度重视。

本次设计主要研究的是PID控制技术在运动控制领域中的应用,众所周知运动控制系统最主要的控制对象是电机,在不同的生产过程中,电机的运行状态要满足生产要求,其中电机速度的控制在占有至关重要的作用,因此本次设计主要是利用PID控制技术对直流电机转速的控制。其设计思路为:以AT89S51单片机为控制核心,产生占空比受PID算法控制的PWM脉冲实现对直流电机转速的控制。同时利用霍尔传感器将电机速度转换成脉冲频率反馈到单片机中,构成转速闭环控制系统。在系统中采LCD1602显示器作为显示部件,通过5个按键实现正反转控制和速度预置功能,启动后可以了解当前速度。因此该系统在硬件方面包括:电源模块、电机驱动模块、控制模块、速度检测模块、人机交互模块。

第1页 共37页

XXX学校XXX届毕业设计说明书

2 PID算法及PWM控制技术简介

2.1 PID算法

控制算法是微机化控制系统的一个重要组成部分,整个系统的控制功能主要由控制算法来实现。目前提出的控制算法有很多。根据偏差的比例(P)、积分(I)、微分(D)进行的控制,称为PID控制。实际经验和理论分析都表明,PID控制能够满足相当多工业对象的控制要求,至今仍是一种应用最为广泛的控制算法之一[4]。下面分别介绍模拟PID、数字PID。 2.1.1 模拟PID

在模拟控制系统中,调节器最常用的控制规律是PID控制,常规PID控制系统原理框图如图2.1所示,系统由模拟PID调节器、执行机构及控制对象组成。

图2.1 模拟PID控制系统原理框图

+r(t)-微 分e(t)积 分+比 例+u(t)执行机构对象c(t)PID调节器是一种线性调节器,它根据给定值r(t)与实际输出值c(t)构成的控制偏差:

e(t)=r(t)-c(t) (2.1)

将偏差的比例、积分、微分通过线性组合构成控制量,对控制对象进行控制,故称为PID调节器。在实际应用中,常根据对象的特征和控制要求,将P、I、D基本控制规律进行适当组合,以达到对被控对象进行有效控制的目的。例如,P调节器,PI调节器,PID调节器等。

模拟PID调节器的控制规律为

u(t)?Kp[e(t)?1TI?e(t)dt?TD0tde(t)] (2.2) dt式中,KP为比例系数,TI为积分时间常数,TD为微分时间常数。

第2页 共37页

XXX学校XXX届毕业设计说明书

简单的说,PID调节器各校正环节的作用是:

(1)比例环节:即时成比例地反应控制系统的偏差信号e(t),偏差一旦产生,调节器立即产生控制作用以减少偏差;

(2)积分环节:主要用于消除静差,提高系统的无差度。积分作用的强弱取决于积分时间常数TI,TI越大,积分作用越弱,反之则越强;

(3)微分环节:能反映偏差信号的变化趋势(变化速率),并能在偏差信号的值变得太大之前,在系统中引入一个有效的早期修正信号,从而加快系统的动作速度,减少调节时间[5]。

由式2.2可得,模拟PID调节器的传递函数为 D(S)?U(S)1?KP(1??TDS) (2.3) E(S)TIS由于本设计主要采用数字PID算法,所以对于模拟PID只做此简要介绍。 2.1.2 数字PID

在DDC系统中,用计算机取代了模拟器件,控制规律的实现是由计算机软件来完成的。因此,系统中数字控制的设计,实际上是计算机算法的设计。由于计算机只能识别数字量,不能对连续的控制算式直接进行运算,故在计算机控制系统中,首先必须对控制规律进行离散化的算法设计。

为将模拟PID控制规律按式(2.2)离散化,我们把图2.1中r(t)、e(t)、u(t)、

c(t)在第n次采样的数据分别用r(n)、e(n)、u(n)、c(n)表示,于是式(2.1)变为 :

e(n)=r(n)-c(n) (2.4)

de(t)可用e(n)?e(n?1)近似代替,当采样周期T很小时dt可以用T近似代替,“积

分”用“求和”近似代替,即可作如下近似

de(t)e(n)?e(n?1)? (2.5) dtT

?e(t)dt??e(i)T (2.6)

0i?1tn第3页 共37页

XXX学校XXX届毕业设计说明书

这样,式(2.2)便可离散化以下差分方程

Tu(n)?K{e(n)? PTI?e(n)?i?1nTD[e(n)?e(n?1)]}?u0 (2.7) T上式中u0是偏差为零时的初值,上式中的第一项起比例控制作用,称为比例(P)项

uP(n),即

up(n)?KPe(n) (2.8)

第二项起积分控制作用,称为积分(I)项uI(n)即

T uI(n)?KPTI?e(i) (2.9)

i?1n第三项起微分控制作用,称为微分(D)项uD(n)即

uD(n)?KPTD[e(n)?e(n?1)] (2.10) T这三种作用可单独使用(微分作用一般不单独使用)或合并使用,常用的组合有: P控制: u(n)?uP(n)?u0 (2.11) PI控制: u(n)?uP(n)?uI(n)?u0 (2.12) PD控制: u(n)?uP(n)?uD(n)?u0 (2.13) PID控制: u(n)?uP(n)?uI(n)?uD(n)?u0 (2.14) 式(2.7)的输出量u(n)为全量输出,它对于被控对象的执行机构每次采样时刻应达到的位置。因此,式(2.7)又称为位置型PID算式。

由(2.7)可看出,位置型控制算式不够方便,这是因为要累加偏差e(i),不仅要占用较多的存储单元,而且不便于编写程序,为此对式(2.7)进行改进。 根据式(2.7)不难看出u(n-1)的表达式,即

Tu(n?1)?KP{e(n?1)?TITDe(n)?[e(n?1)?e(n?2)]}?u0 (2.15) ?Ti?1n?1将式(2.7)和式(2.15)相减,即得数字PID增量型控制算式为

第4页 共37页

XXX学校XXX届毕业设计说明书

?u(n)?u(n)?u(n?1)

?KP[e(n)?e(n?1)]?KIe(n)?KD[e(n)?2e(n?1)?e(n?2)] (2.16)

从上式可得数字PID位置型控制算式为

u(n)?KP[e(n)?e(n?1)]?KIe(n)?KD[e(n)?2e(n?1)?e(n?2)]?u0 (2.17)

式中: KP称为比例增益; KI?KPT称为积分系数; TI KD?KPTD称为微分系数[1]。 T数字PID位置型示意图和数字PID增量型示意图分别如图2.2和2.3所示:

图2.2 数字PID位置型控制示意图

图2.3 数字PID增量型控制示意图

r(t)+-e(t)PID位置算法u控制器被控对象c(t)r(t)+-e(t)PID增量算法u控制器被控对象c(t)2.1.3 数字PID参数整定方法

如何选择控制算法的参数,要根据具体过程的要求来考虑。一般来说,要求被控过程是稳定的,能迅速和准确地跟踪给定值的变化,超调量小,在不同干扰下系统输出应能保持在给定值,操作变量不宜过大,在系统和环境参数发生变化时控制应保持稳定。显然,要同时满足上述各项要求是很困难的,必须根据具体过程的要求,满足主要方面,并兼顾其它方面。

PID调节器的参数整定方法有很多,但可归结为理论计算法和工程整定法两种。用理论计算法设计调节器的前提是能获得被控对象准确的数学模型,这在工业过程中一般较难做到。因此,实际用得较多的还是工程整定法。这种方法最大优点就是整定参数时

第5页 共37页

XXX学校XXX届毕业设计说明书

不依赖对象的数学模型,简单易行。当然,这是一种近似的方法,有时可能略嫌粗糙,但相当适用,可解决一般实际问题[6]。下面介绍两种常用的简易工程整定法。 (1)扩充临界比例度法

这种方法适用于有自平衡特性的被控对象。使用这种方法整定数字调节器参数的步骤是:

①选择一个足够小的采样周期,具体地说就是选择采样周期为被控对象纯滞后时间的十分之一以下。

②用选定的采样周期使系统工作:工作时,去掉积分作用和微分作用,使调节器成为纯比例调节器,逐渐减小比例度?(??1/KP)直至系统对阶跃输入的响应达到临界振荡状态,记下此时的临界比例度?K及系统的临界振荡周期Tk。

③选择控制度:所谓控制度就是以模拟调节器为基准,将DDC的控制效果与模拟调节器的控制效果相比较。控制效果的评价函数通常用误差平方面积

??0e2(t)表示。

控制度=

[?e2(t)dt]DDC[?e(t)dt]模拟00?2? (1.18)

实际应用中并不需要计算出两个误差平方面积,控制度仅表示控制效果的物理概念。通常,当控制度为1.05时,就可以认为DDC与模拟控制效果相当;当控制度为2.0时,DDC比模拟控制效果差。

④根据选定的控制度,查表2.1求得T、KP、TI、TD的值

第6页 共37页

XXX学校XXX届毕业设计说明书

表2.1 扩充临界比例度法整定参数

1.05

1.20

1.50 PID PI PID 1.20 1.50 PI PID PI PID 控制度 1.05 控制规律 PI T 0.03TK 0.014TK 0.05TK KP 0.53?K 0.63?K 0.49?K TI 0.88TK 0.49TK 0.91TK 0.47TK 0.99TK 0.43TK 1.05TK 0.40TK TD 0.14TK 0.16TK 0.20TK 0.22TK 0.043TK 0.047?K 0.14TK 0.09TK 0.22TK 0.16TK 0.42?K 0.34?K 0.36?K 0.27?K

2.00 2.00 (2)经验法

经验法是靠工作人员的经验及对工艺的熟悉程度,参考测量值跟踪与设定值曲 线,来调整P、I、D三者参数的大小的,具体操作可按以下口诀进行:

参数整定找最佳,从小到大顺序查; 先是比例后积分,最后再把微分加; 曲线振荡很频繁,比例度盘要放大; 曲线漂浮绕大湾,比例度盘往小扳; 曲线偏离回复慢,积分时间往下降; 曲线波动周期长,积分时间再加长; 曲线振荡频率快,先把微分降下来; 动差大来波动慢,微分时间应加长。

下面以PID调节器为例,具体说明经验法的整定步骤:

① 让调节器参数积分系数KI=0,实际微分系数KD=0,控制系统投入闭环运行,由小到大改变比例系数KP,让扰动信号作阶跃变化,观察控制过程,直到获得满意的控制过程为止。

②取比例系数KP为当前的值乘以0.83,由小到大增加积分系数KI,同样让扰动信号作阶跃变化,直至求得满意的控制过程。

第7页 共37页

XXX学校XXX届毕业设计说明书

③积分系数KI保持不变,改变比例系数KP,观察控制过程有无改善,如有改善则继续调整,直到满意为止。否则,将原比例系数KP增大一些,再调整积分系数KI,力求改善控制过程。如此反复试凑,直到找到满意的比例系数KP和积分系数KI为止。

④引入适当的实际微分系数KD和实际微分时间KD,此时可适当增大比例系数KP和积分系数KI。和前述步骤相同,微分时间的整定也需反复调整,直到控制过程满意为止。

第8页 共37页

XXX学校XXX届毕业设计说明书

2.2 PWM脉冲控制技术

PWM(Pulse Width Modulation)控制就是对脉冲的宽度进行调制的技术。即通过对一系列脉冲的宽度进行调制,来等效地获得所需要波形(含形状和幅值)。 2.2.1 PWM控制的基本原理

在采样控制理论中有一个重要的结论:冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。冲量即指窄脉冲的面积。这里所说的效果基本相同,是指环节的输出响应波形基本相同。如果把各输出波形用傅立叶变换分析,则其低频段非常接近,仅在高频段略有差异。例如图2.4中a、b、c所示的三个窄脉冲形状不同,其中图2.4的a为矩形脉冲,图2.4的b为三角脉冲,图2.4的c为正弦半波脉冲,但它们的面积(即冲量)都等于1,那么,当它们分别加在具有惯性的同一环节上时,其输出响应基本相同。当窄脉冲变为如图2.4的d所示的单位脉冲函数?(t)时,环节的响应即为该环节的脉冲过渡函数[7]。

f(t)f(t)f(t)f(t)0t0 a bt0ct0dt图2.4 形状不同而冲量相同的各种窄脉冲

图2.5a的电路是一个具体的例子。图中e(t)为窄脉冲,其形状和面积分别如图2.4的a、b、c、d所示,为电路的输入。该输入加在可以看成惯性环节的R-L电路上,设其电流i(t)为电路的输出。图2.5b给出了不同窄波时i(t)的响应波形。从波形可以看出,在i(t)的上升段,脉冲形状不同时i(t)的形状也略有不同,但其下降段几乎完全相同。脉冲越窄,各i(t)波形的差异也越小。如果周期性的施加上述脉冲,则响应i(t)也是周期性的。用傅立叶级数分解后将可看出,各i(t)在低频段的特性非常接近,仅在高频段有所不同。

第9页 共37页

XXX学校XXX届毕业设计说明书

a0bie(t)i(t)Ri(t)acdb L 图2.5 冲量相同的各种窄脉冲的响应波形

2.2.2 直流电机的PWM控制技术

直流电动机具有优良的调速特性,调速平滑、方便,调速范围广,过载能力大,能承受频繁的冲击负载,可实现频繁的无级快速起动、制动和反转;能满足生产过程自动化系统各种不同的特殊运行要求,在许多需要调速或快速正反向的电力拖动系统领域中得到了广泛的应用。

直流电动机的转速调节主要有三种方法:调节电枢供电的电压、减弱励磁磁通和改变电枢回路电阻。针对三种调速方法,都有各自的特点,也存在一定的缺陷。例如改变电枢回路电阻调速只能实现有级调速,减弱磁通虽然能够平滑调速,但这种方法的调速范围不大,一般都是配合变压调速使用。所以在直流调速系统中,都是以变压调速为主。其中,在变压调速系统中,大体上又可分为可控整流式调速系统和直流PWM调速系统两种。直流PWM调速系统与可控整流式调速系统相比有下列优点:由于PWM调速系统的开关频率较高,仅靠电枢电感的滤波作用就可获得平稳的直流电流,低速特性好、稳速精度高、调速范围宽。同样,由于开关频率高,快速响应特性好,动态抗干扰能力强,可以获得很宽的频带;开关器件只工作在开关状态,因此主电路损耗小、装置效率高;直流电源采用不可控整流时,电网功率因数比相控整流器高。正因为直流PWM调速系统有以上优点,并且随着电力电子器件开关性能的不断提高,直流脉宽调制( PWM) 技术得到了飞速的发展[8]。

根据PWM控制的基本原理可知,一段时间内加在惯性负载两端的PWM脉冲与相等时间内冲量相等的直流电加在负载上的电压等效,那么如果在短时间T内脉冲宽度为t0,幅值为U,由图2.6可求得此时间内脉冲的等效直流电压为:

第10页 共37页

XXX学校XXX届毕业设计说明书

0t0TtU(t)U图2.6 PWM脉冲

U0?t0t0?U??,若令,?即为占空比,则上式可化为:

TT U0???U (U为脉冲幅值) (2.19)

若PWM脉冲为如图2.7所示周期性矩形脉冲,那么与此脉冲等效的直流电压的计算方法与上述相同,即

U0?

nt0?Ut0?U????U (?为矩形脉冲占空比) (2.20) nTTU(t)U0t0T2t02T3t0 3T4t0nT(n+1)t0t图2.7 周期性PWM矩形脉冲

由式2.20可知,要改变等效直流电压的大小,可以通过改变脉冲幅值U和占空比?来实现,因为在实际系统设计中脉冲幅值一般是恒定的,所以通常通过控制占空比?的大小实现等效直流电压在0~U之间任意调节,从而达到利用PWM控制技术实现对直流电机转速进行调节的目的。

第11页 共37页

XXX学校XXX届毕业设计说明书

3 硬件电路设计部分

3.1 系统设计方案

根据系统设计的任务和要求,设计系统方框图如图3.1所示。图中控制器模块为系统的核心部件,键盘和显示器用来实现人机交互功能。在运行过程中控制器产生PWM脉冲送到电机驱动电路中,经过放大后控制直流电机转速,同时利用速度检测模块将当前转速反馈到控制器中,控制器经过数字PID运算后改变PWM脉冲的占空比,实现电机转速实时控制的目的。

显示模块 键盘模块控制器模块PWM脉冲电机驱动模块直流电机

图3.1 系统方案框图

速度检测模块3.2 控制器模块设计方案

根据设计任务要求,采用AT89S51作为系统控制的方案。AT89S51单片机算术运算功能强,软件编程灵活、自由度大,可用软件编程实现各种算法和逻辑控制[4]。相对于FPGA来说,它的芯片引脚少,在硬件很容易实现。并且它还具有功耗低、体积小、技术成熟和成本低等优点,在各个领域中应用广泛。 3.3 电机驱动模块设计方案

驱动模块是控制器与执行器之间的桥梁,在本系统中单片机的I/O口不能直接驱动电机,只有引入电机驱动模块才能保证电机按照控制要求运行,在这里选用L298电机驱动芯片驱动电机,由于它内部已经考虑到了电路的抗干扰能力,安全、可靠行,所以我们在应用时只需考虑到芯片的硬件连接、驱动能力等问题就可以了,所以此种方案的电路设计简单、抗干扰能力强、可靠性好。设计者不需要对硬件电路设计考虑很多,可将重点放在算法实现和软件设计中,大大的提高了工作效率。

第12页 共37页

XXX学校XXX届毕业设计说明书

该芯片是由四个大功率晶体管组成的H桥电路构成,四个晶体管分为两组,交替导通和截止,用单片机控制达林顿管使之工作在开关状态,通过调整输入脉冲的占空比,调整电动机转速。

图3.2 驱动电路

3.4 电源模块设计方案

电源是整个系统的能量来源,它直接关系到系统能否运行。在本系统中直流电机需要12V电源,而单片机、显示模块等其它电路需要5V的电源,因此电路中选用7805和7812两种稳压芯片。

而本设计电源电路采用78系列芯片产生+5V、+12V。电路图如图3.3所示:

图3.3 电源电路

第13页 共37页

XXX学校XXX届毕业设计说明书

3.5速度采集设计模块

速度的采集用霍尔传感器。通过对脉冲的计数进行电机速度的检测。 (1)霍尔传感器的工作原理

霍尔开关集成电路中的信号放大器将霍尔元件产生的幅值随磁场强度变化的霍尔电压UH放大后再经信号变换器、驱动器进行整形、放大后输出幅值相等、频率变化的方波信号。信号输出端每输出一个周期的方波,代表转过了一个齿。脉冲信号的周期与电机的转速的关系为:n=周期)。

(2)测速电路原理图

60 (n为电机转速;P为电机转一圈的脉冲数;T为输出方波信号PT

图3.4 速度采集电路

3.6 显示模块设计方案

采用1602LCD液晶显示器,该显示器控制方法简单,功率低、硬件电路简单、可对字符进行显示。

3.6.1 引脚分布和接口信号说明

(1)引脚分布

1602液晶显示共有16个引脚,其引脚分布如图3.5所示。

第14页 共37页

XXX学校XXX届毕业设计说明书

图3.5 1602液晶显示模块引脚分布

(2)引脚功能

1602引脚功能如表3.1所示

表3.1 1602引脚功能

编号 1 2 3 4 5 6 7 8 符号 VSS VDD VEE RS R/W E D0 D1 引脚说明 VSS为地电源 VDD接5V正电源 液晶显示偏压信号 0输入指令,1输入数据 0写入指令或数据,1读信息 1读取信息,1→0执行指令 Data I/O Data I/O 编号 符号 9 10 11 12 13 14 15 16 D2 D3 D4 D5 D6 D7 BLA BLK 引脚说明 Data I/O Data I/O Data I/O Data I/O Data I/O Data I/O 背光源正极 背光源负极 3.6.2 LCD液晶电路

第15页 共37页

XXX学校XXX届毕业设计说明书

图3.6 1602液晶显示模块组成

第16页 共37页

XXX学校XXX届毕业设计说明书

4 软件设计部分

4.1 驱动电路程序流程

电机驱动电路是专用的驱动芯片L298,单片机给驱动芯片输出脉冲来控制电机,程序流程图如下:

给电机驱动芯片输出脉冲

返回 图4.1 定时中断服务流程图

恢复现场 count=256? 将从键盘(中断)读取的数据送到TH0中,从而设计脉冲 设置周期 初始化 开始 否 count=count+1 4.2 直流电机的中断键盘控制模块 4.2.1 外部中断设置 (1) 外部中断允许设置

中断控制寄存器IE的EX0对应INT0,EX1对应INT1,EA为中断的总开关,若要开放外部中断,只要将IE对应的位和总开关EA置1即可。 如:开放外部中断0的设置:

第17页 共37页

XXX学校XXX届毕业设计说明书

SETB EX0 SETB EA

开放外部中断0和1的设置: SETB EX0 SETB EX1 SETB EA

(2) 外部中断触发方式设置

单片机外部中断有两种触发方式,一种是电平触发方式,另一种是脉冲触发方式,单片

机外部中断触发方式与TCON的IT位有关。

TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 电平触发设置方法:CLR ITX,为低电平触发方式。 脉冲触发设置方法:SETB ITX=1,为脉冲下降沿触发方式。 在使用外部中断时,如果不进行设置,则为电平触发方式。 (3) 外部优先级设置

外部中断IN0、INT1的中断优先级的设置是通过设置IP寄存器实现的,IP的PX0对应INT0,PX1对应INT1。PX置1为高级中断,PX为0为低级中断。

X X X PS PT1 PX1 PT0 PX0 4.2.2 外部中断扩展

在图4.2为外部中断扩展电路,设X0、X1、X2、X3、X4为外部警情信号,X0代表是加速信号,X0=0表示加速;X1代表减速信号,X1=0表示减速;X2代表正转信号,X2=0表示正转;X3代表反转信号,X3=0表示反转;X4代表停止信号,X4=0表示停止处理。

第18页 共37页

XXX学校XXX届毕业设计说明书

图 4.2 外部中断扩展电路

4.3。 中断请求 是 X0=0? 加速 否 是 X1=0? 减速 否 是 X2=0? 正转 否 是 X3=0? 反转 否 是 X4=0? 停止 图4.3中断服务流程

第19页共37页

当系统检测到有中断请求时,响应如下中断服务流程图

XXX学校XXX届毕业设计说明书

4.3 显示程序流程图

显示模块是实现人机对话的重要部分,在这里选用LCD1602作为显示器,其工作流程图如下所示: 开始 对LCD初始化

将已知要提示的内容送入LCD并显示在第一行 是 设置电机的速 一直等待 度是否为0 否 将读取的速度和转向送入LCD并使其显示在第二行 是 否

是否有命令 一直等待 输入 LJMP 图4.4 显示程序流程图

第20页

共37页

XXX学校XXX届毕业设计说明书

5 系统功能调试

5.1 Proteus使用

Proteus是英国Labcenter electronics公司研发的EDA设计软件,是一个基于ProSPICE混合模型仿真器的,完整的嵌入式系统软、硬件设计仿真平台。Proteus不仅可以做数字电路、模拟电路、数模混合电路的仿真,还可进行多种CPU的仿真,涵盖了51、PIC、AVR、HC11、ARM等处理器,真正实现了在计算机从原理设计、电路分析、系统仿真、测试到PCB板完整的电子设计,实现了从概念到产品的全过程。以下为本系统在Proteus中的仿真流程:

(1)新建文件:打开Proteus点File,在弹出的下拉菜单中选择New Design,在弹出的图幅选择对话框中选Default。

(2)设置编辑环境:按上述的方法对Proteus的设计环境进行设置。 (3)元器件选取:按设计要求,在对象选择窗口中点P,弹出 Pickdevices对话框,在Keywords中填写要选择的元器件,然后在右边对话框中选中要选的元器件,则元器件列在对象选择的窗口中如图5.1所示

图5.1 Proteus元器件选取界面

(4)程序编译

点菜单Source→Add/Remove source Files”在出现的对话框如图5.2中,选择ASEM51编辑器,将上面的汇编源程序SEKED.ASM添加。再点菜单Source→Build ALL编译汇编源程序,生成目标代码文件SWLED.HEX

第21页 共37页

XXX学校XXX届毕业设计说明书

图5.2 程序添加界面

(5)程序加载

在编辑环境左击单片机然后右击,在弹出的对话框中将编译生成的HEX文件加载到芯片中,设单片机的时钟工作频率为12MHZ。

(6)电路仿真

点仿真按键,按照前面介绍的系统使用方法进行仿真。 5.2 电路仿真

LCD液晶显示电路的系统仿真与调试:在Proteus运行环境中首先检验LCD显示电路,添加程序,运行LCD液晶显示电路能,系统若运行成功将得到如图5.3。此后在之前的电路基础之上再拓展带中断的独立式键盘,调试成功后的电路如图5.4所示。

图5.3 LCD液晶显示字符初步调试

第22页 共37页

XXX学校XXX届毕业设计说明书

图5.4 带中断控制的LCD液晶显示

调试用带中断的键盘来控制直流电机驱动模块的部分电路,如图5.5。

图 5.5 用带中断的键盘来控制的电机

启动目标系统,按正转,然后接加速开关,我们观察到电机开始运转,每按一次加速,电机的速度都要增加,此时如果按减速,则电机的转速慢慢地减小。同样按反转转键也看到同样的结果,当按停止键时,电机慢慢停下来,图5.6是在目的电路刚启动时未设置命令之前的状态,图5.7是在正转情况下的仿真结果,图5.8是在反转情况下的仿真结果。

第23页 共37页

XXX学校XXX届毕业设计说明书

图5.6 未按键时的初始状态

图5.7 电机正转时的状态

图5.8 电机反转时的状态

第24页 共37页

XXX学校XXX届毕业设计说明书

6 结论

本课题的目的在于利用单片机实现PID算法产生PWM脉冲来控制电机转速。到目前为止通过对控制器模块、电机驱动模块、LCD显示模块、数字PID算法等进行深入的研究。完成了硬件电路的系统设计,并且利用Protel软件绘制出电路原理图。软件方面利用汇编语言进行编程,并且利用Proteus软件进行仿真更加保证了程序的准确性。

归纳起来主要做了如下几方面的工作:1、PID算法与PWM控制技术有机的结合; 2、设计了电机调速电路(原理图见附录A);3、利用汇编语言进行程序设计,并通过仿真(源程序见附录B)。根据上面论述结合测试数据可以看出本次设计基本完成了设计任务和要求。

通过此次设计,掌握了数字PID算法的使用及编程方法,学习了如何进行系统设计及相关技巧,为今后的工作和学习奠定了坚实的基础。

第25页 共37页

XXX学校XXX届毕业设计说明书

附录A 系统总电路图

直流电机调速系统的Protel原理图

第26页 共37页

XXX学校XXX届毕业设计说明书

附录B 源程序

ORG 0000H SJMP DISPLAY ORG 0003H

LJMP BUTTON ; 外部0中断入口地址 ORG 000BH

LJMP DINGSHI ; RS EQU P3.0 RW EQU P3.1 E EQU P3.4

ORG 0030H ; ; DISPLAY:

SETB EA ; SETB EX0 ; SETB IT0 ; MOV TMOD,#01H ; MOV TL0,#0FFH ; MOV TH0,#0FFH

SETB ET0 ; CLR P0.5 CLR P0.6 CLR P0.7

SETB TR0 ; MOV DPTR,#TAB ; MOV R0,#00H ; MOV R1,#16 ; \MOV R3,#00H

定时中断T0入口地址 此次直流电机的设计以LCD字符夜晶的 显示程序为主程序 打开中断总开关 打开外部中断0开关 打开外部中断0下降沿触发 设置定时工作方式 设置定时初值 打开定时中断T0开关 定时器T0开始定时 夜晶显示的字符首地址 脉宽的初值

的字符个数 第27页共37页

XXX学校XXX届毕业设计说明书

MOV R4,#00H LP9: LCALL CHUSHI LP2: ACALL BUSY MOV A,#00H MOVC A,@A+DPTR MOV P1,A ACALL DATAS INC DPTR DJNZ R1,LP2 LP3:

CJNE R3,#00H,LP4 CJNE R4,#00H,LP4 SJMP LP3

LP4: MOV R7,#00H ; MOV R5,#09H ; CURRENT : ACALL BUSY MOV P1,#0C0H ACALL ENABLE MOV DPTR,#MMTAB ACALL BUSY LP5: MOV A,#00H MOVC A,@A+DPTR MOV P1,A INC DPTR ACALL DATAS ACALL BUSY

中断的标志

的字符个数 第28页共37页

XXX学校XXX届毕业设计说明书

DJNZ R5,LP5 MOV DPTR,#STAB MOV A,R2 MOV P1,A ACALL DATAS ACALL BUSY

MOV A,R3 ; MOVC A,@A+DPTR MOV P1,A ACALL DATAS ACALL BUSY

MOV A,R4 ; MOVC A,@A+DPTR MOV P1,A

ACALL DATAS ; LP8:

CJNE R7,#00H,LP7 ; LJMP LP8 ; LP7: SJMP LP4

CHUSHI: ; ACALL BUSY

MOV P1,#00000001B ; ACALL ENABLE ACALL BUSY

MOV P1,#00111000B ; ACALL ENABLE ACALL BUSY

MOV P1,#00001111B ;

显示速度的十位 显示速度的个位 使夜晶始终显示当前电机的速度 速度不变时等待 速度变时重新读入速度 使夜晶显示的一些初始设置 清屏并光标复位 设置显示模式:8位2行5×7点阵 显示器开、光标开、光标允许闪烁

第29页共37页

XXX学校XXX届毕业设计说明书

ACALL ENABLE ACALL BUSY

MOV P1,#00000110B ; 文字不动,光标自动右移 ACALL ENABLE ACALL BUSY

MOV P1,#80H ; ACALL ENABLE RET

ENABLE: ; SETB E CLR RS CLR RW CLR E RET

DATAS: ; SETB E SETB RS CLR RW CLR E RET

BUSY: ; CLR E MOV P1,#0FFH CLR RS SETB RW SETB E JB P1.7,BUSY RET ORG 2000H

写入显示起始地址 写入控制命令的子程序 写入数据子程序 准备写入数据 第30页共37页

XXX学校XXX届毕业设计说明书

DINGSHI: ; 定时中断服务程序 CPL P0.7

JNB P0.7,Z1 ; 周期一定 MOV A,#0FFH SUBB A,R0 MOV TH0,A SETB TR0 RETI

Z1: MOV TH0,R0 ; SETB TR0 RETI

BUTTON: ; PUSH ACC CLR EX0 CLR EA INC R7 ; MOV A,#0FFH MOV P2,A MOV A,P2

JNB ACC.0,AA0 JNB ACC.1,KK0 JNB ACC.2,ZZ JNB ACC.3,FF JNB ACC.4,WW0 AJMP QQ

AA0: CJNE R0,#0FFH, AA1 ; AJMP QQ AA1: MOV A,R0 ADD A,#5

脉宽 从控制键盘中读取操作命令加速操作 第31页共37页

XXX学校XXX届毕业设计说明书

MOV R0,A AJMP QQ

KK0: CJNE R0,#00,MM ; 减速操作 AJMP QQ MM: MOV A,R0 SUBB A,#5 MOV R0,A AJMP QQ

QQ: MOV A,R0 MOV B,#5 DIV AB MOV B,#10 DIV AB MOV R3,A MOV R4,B SETB EX0 LCALL DELAY LCALL DELAY LCALL DELAY LCALL DELAY SETB EA POP ACC RETI

ZZ: SETB P0.5 ; CLR P0.6

MOV R2,#2BH ; LCALL DELAY LCALL DELAY LCALL DELAY

正转操作 正转标志 \第32页共37页

XXX学校XXX届毕业设计说明书

SETB EX0 SETB EA POP ACC RETI

FF: CLR P0.5 ; 反转操作 SETB P0.6

MOV R2,#2DH ; LCALL DELAY LCALL DELAY LCALL DELAY SETB EX0 SETB EA POP ACC RETI

WW0: CLR P0.5 ; CLR P0.6 LCALL DELAY LCALL DELAY LCALL DELAY SETB EX0 SETB EA POP ACC RETI

DELAY: ; MOV R5,#0E0H

MM0: MOV R6,#30H MM1: DJNZ R6,MM1 DJNZ R5,MM0 RET

反转标志 \停止操作 延时子程序 第33页共37页

XXX学校XXX届毕业设计说明书

TAB: DB 53H,45H,54H,20H

DB 53H,50H,45H,45H ; \代码 DB 44H,20H,50H,4CH DB 45H,41H,53H,45H STAB: DB 30H,31H,32H,33H

DB 34H,35H,36H,37H ; \DB 38H,39H,41H,42H ; \DB 43H,44H,45H,46H

MMTAB: DB 43H,4FH,52H,52H

DB 45H,4EH,54H,20H ; \DB 3AH END

代码 代码

第34页共37页

XXX学校XXX届毕业设计说明书

参 考 文 献

[1] 孙传友. 测控系统原理与设计[M].北京:北京航空航天大学出版社, 2003: 160~166,174

[2] 泰继荣. 现代直流控制技术及其系统设计[M]. 北京:机械工业出版社,1993: 141~145

[3] 韩京清. 非线性PID控制器[J]. 自动化学报,1994,(4):487~490

[4] 张永双,康虎. 一种BP网络自整定PID控制算法及其在NF-6风洞控制中的应用[J].流体力学实验与M量, 2003,17(3):79~83

[5] 万佑红,李新华. 用遗传算法实现PID参数整定[J].自动化技术与应用, 2004,23(7):7~8

[6] 王伟,晶涛,柴天佑. PID参数先进整定方法综述[J].自动化学报, 2000,(3): 347~35

[7] 林蔚天.微机控制PWM直流调速. 上海电机技术高等专科学校学报, 2001,12(4) [8] 李维军,韩小刚,李晋. 基于单片机用软件实现直流电机PWM调速系统. 机电一体化,2004,5:49~51

[9] 王兆安. 电力电子技术[M].北京:机械工业出版社, 2006:150~152 [10] 陈杰. 传感器与检测技术[M].北京:高等教育出版社,2002:201

[11] 方彦军,孙健. 智能仪器技术及其应用[M]. 北京:化学工业出版社,2005:42~43 [12] 涂时亮,张友德. 单片微机MCS-51用户手册. 上海:复旦大学出版社, 1990: 167~202

[13] 李朝青.单片机原理及接口技术.第二版.北京:北京航空航天大学出版社,1996:223~227

[14] 沙占友. 单片机外围电路设计[M]. 北京:电子工业出版社, 2003:21 [15] 铁才. 电机控制技术. 哈尔滨: 哈尔滨工业大学出版社, 2000:8~9 [16] 张俊谟. 单片机中级教程[M]. 北京:北京航空航天大学出版社,2006:96 [17] 何立民. MCS-51系列单片机应用系统设计系统配置与接口技术[M]. 北京:北京航空航天大学出版社,1990:83~87

[18] 潘松,黄继业. EDA技术实用教程[M]. 北京:科学出版社, 2003:33

第35页 共37页

XXX学校XXX届毕业设计说明书

[19] 周祖德,李刚炎. 数字制造的现状与发展[J]. 中国机械工程, 2002,(6):531~533 [20] 辛友顺,胡永生. 单片机应用系统设计与实现.福建:福建科学技术出版,2006: 248~263

[21] Behzad Razavi.Design of Analog CMOS and IntegratedCircuits[M].McGraw-Hill Companies, 2001:28~36

[22] Tao Wu, Ykang Yang, Yongxuan Huang, etal. H-PID Controller Parameters Tuning via Genetic Algorithms[C] . Intelligent Controland Automation.Proceedings of the 3rd World Congress on, 2000,1:586~589

[23] Cominos P, Munro N.PID controllers:Recent Tuning Methods and Designto Specifi- cation[M]. Control Theory and Applications, IEE Proceedings, January, 2002,149:46 [24] S Dewitte, J Cornelis. Lossless integer wavelet transform[J].IEEE Signal Processing Letters, 1997-06:4:158~160

[25] 曾军,方厚辉. 神经网络PID控制及其Matlab仿真[J]. 现代电子技术,2004,(169):51~56

第36页 共37页

XXX学校XXX届毕业设计说明书

致 谢

本次设计能够顺利完成,除了我自身的努力外,还有XX老师的热心帮助,在此我向他表示衷心的感谢!

XX老师对我的论文做了最详细的指导,从论文的前期准备、开题、初稿到最后定稿的每个环节都凝聚了他们的汗水,在他身上我不仅学到了大量的理论知识,更重要的是他对工作认真负责、对问题一丝不苟的精神,这将是我人生中一笔巨大的财富,今后我将会更加努力的工作和学习,不辜负老师和同学对我的期望,在此我向我的指导老师表示深深的感谢。

大学生活即将结束,这四年的光阴给我流下了许多美好的回忆,我将永远铭记于心。

第37页 共37页

本文来源:https://www.bwwdw.com/article/mzh5.html

Top