实验二Quartus II 软件仿真实验报告 - 图文

更新时间:2023-11-13 06:00:02 阅读量: 教育文库 文档下载

说明:文章内容仅供预览,部分内容可能不全。下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。

Quartus II 软件仿真实验报告

一、实验目的

1、了解可编程数字系统设计的流程; 2、掌握Quartus II 软件的基本使用方法;

3、掌握原理图输入方式设计数字系统的方法和流程; 4、熟悉掌握集成译码器74LS138的应用。

二、实验设备 1、Quartus II 软件

2、Altera DE0 多媒体开发平台 3、74LS138集成电路

三、实验原理

? 74LS20:双4输入与非门 引脚的定义:

? 74LS138:3-8线译码器

引脚的定义:S1,S2,S3:使能输入,,与逻辑

四、实验内容——74LS138译码器逻辑功能的测试

把译码器的输入接到拨码开关(DE0板),输出端接8个LED灯(DE0板),通过拨码开关改变输入的逻辑电平变化来观察LED输出情况,验证3×8译码器的工作状态。

1.新建工程,并添加38译码器。选择Symbol Tool →others →maxplus2

2. 导入I/O符号。选择Primitives →pin ,并修改引脚名称,链接节点。

3. 编译设计电路,“Processing”→“Start Compliation”

4. 编辑输入波形(输入激励信号)

5. 启动仿真器, “Processing”→“Start Simulation”,观察仿真结果。

6. 分配引脚,“Assignments” →“Assignment Editor”

五、实验结果 实验仿真图如下:

仿真波形图如下:

本文来源:https://www.bwwdw.com/article/gaqv.html

Top